scispace - formally typeset
Search or ask a question
Author

Abdullah Guler

Other affiliations: Intel
Bio: Abdullah Guler is an academic researcher from Princeton University. The author has contributed to research in topics: Integrated circuit & Static random-access memory. The author has an hindex of 3, co-authored 6 publications receiving 20 citations. Previous affiliations of Abdullah Guler include Intel.

Papers
More filters
Journal ArticleDOI
TL;DR: Two new 3-D monolithic FinFET-based 8T SRAM cells are proposed and compared with previously reported 6T and 8TSRAM cells implemented in 2-D/3-D and use pFinFET access transistors for better area efficiency in 3D and low leakage current.
Abstract: FinFETs have replaced planar MOSFETs due to their superior performance, power efficiency, and scalability. However, even FinFETs are expected to reach their scaling limits due to physical limits, process variations, and short-channel effects. As an alternative to device scaling, 3-D integrated circuits (ICs) can increase the number of transistors in a chip in the same footprint area. Among 3-D technologies, monolithic 3-D integration promises the highest density, performance, and power efficiency owing to its high-density monolithic intertier vias. A transistor-level monolithic implementation enables an independent optimization of transistor layers. However, it requires a new 3-D cell library. In this paper, we propose two new 3-D monolithic FinFET-based 8T SRAM cells and compare them with previously reported 6T and 8T SRAM cells implemented in 2-D/3-D. Both the proposed cells use pFinFET access transistors for better area efficiency in 3-D and low leakage current. One of the proposed cells utilizes independent-gate pFinFETs as pull-up transistors whose back gates are tied to the supply voltage for better writeability. This cell has 28.1% and 43.8% smaller footprint area, 31.6% and 43.2% smaller leakage current, and 53.2% and 29.0% lower read time compared with conventional 2-D 6T SRAM and 2-D 8T SRAM cells, respectively.

12 citations

Journal ArticleDOI
TL;DR: McPAT-monolithic, a framework for modeling HM multicore architectures, is introduced and simulations show that, under the same timing constraint, an HM design offers 47.2% reduction in footprint area and 5.3% in power consumption compared to a 2-D design at the cost of slightly higher on-chip temperature.
Abstract: Three-dimensional integrated circuits (3-D ICs) have the potential to push Moore’s law further by accommodating more transistors per unit footprint area along with a reduction in power consumption, interconnect length, and the number of repeaters Monolithic 3-D integration is particularly promising in this regard as it offers a very high connectivity between vertical transistor layers owing to its nanoscale monolithic intertier vias Monolithic integration can be realized at block-, gate-, and transistor-level granularity A hybrid monolithic (HM) design aims to further optimize area, power, and performance of the chip by combining different monolithic styles In this article, we introduce McPAT-monolithic, a framework for modeling HM multicore architectures We use the OpenSPARC T2 processor as a case study to compare different monolithic implementation styles and explore the benefits of HM design Our simulations show that, under the same timing constraint, an HM design offers 472% reduction in footprint area and 53% in power consumption compared to a 2-D design at the cost of slightly higher on-chip temperature

12 citations

Journal ArticleDOI
TL;DR: This paper characterize the OpenSPARC T2 processor core using different monolithic implementations and compare their footprint area, wirelength, power consumption, and temperature and shows, via simulations, that under the same timing constraint, a hybrid monolithic design offers 48.1% reduction in the footprint area and 14.6% reduced power consumption.
Abstract: With continued technology scaling, interconnects have become the bottleneck in further performance and power consumption improvements in modern microprocessors. 3-D integrated circuits (3-D ICs) provide a promising approach for alleviating this bottleneck and enabling higher performance while reducing the footprint area, wirelength, and overall power consumption. Among various 3-D IC solutions, monolithic 3-D ICs stand out as they can utilize the third dimension most efficiently owing to high-density monolithic intertier vias. Monolithic integration is possible at different levels of granularity: block level, gate level, and transistor level. A hybrid monolithic design has modules implemented in different monolithic styles to further optimize the design objectives such as area, wirelength, and power consumption. However, a lack of electronic design automation tools makes the hybrid monolithic 3-D IC design quite challenging. In this paper, we introduce the first hybrid monolithic 3-D IC floorplanner. We characterize the OpenSPARC T2 processor core using different monolithic implementations and compare their footprint area, wirelength, power consumption, and temperature. We show, via simulations, that under the same timing constraint, a hybrid monolithic design offers 48.1% reduction in the footprint area and 14.6% reduction in power consumption compared to those of the 2-D design at the cost of higher power density and slightly higher temperature.

11 citations

Proceedings ArticleDOI
21 Mar 2021
TL;DR: In this article, the reliability risk assessment shows that the effects of ambient temperature (e.g. resistance or conductance shifting with varying temperature) can lead to potential degradation of the neural network accuracy.
Abstract: For the first time, the impact of temperature instability of resistive memory switching on potential neuromorphic computing applications has been extensively studied using eNVM-R and eNVM-M technologies developed on Intel 22FFL process. The reliability risk assessment shows that the effects of ambient temperature (e.g. resistance or conductance shifting with varying temperature) can lead to potential degradation of the neural network accuracy. Our results provide additional insight into device-level physical models and circuit-level design guidance for potential AI hardware applications.

7 citations

Journal ArticleDOI
TL;DR: This article shows how Multiparameter Asymmetric (MPA) FinFETs can be used to design ultra-low-leakage and robust 6T SRAM cells and combines multiple asymmetries, namely, asymmetry in gate work function, source/drain doping concentration, and gate underlap, to address various SRAM design issues all at once.
Abstract: Memory arrays consisting of Static Random Access Memory (SRAM) cells occupy the largest area on chip and are responsible for significant leakage power consumption in modern microprocessors. With the transition from planar Complementary Metal-Oxide-Semiconductor (CMOS) technology to FinFETs, FinFET SRAM design has become important. However, increasing leakage power consumption of FinFETs due to aggressive scaling, width quantization, read-write conflict, and process variations make FinFET SRAM design challenging. In this article, we show how Multiparameter Asymmetric (MPA) FinFETs can be used to design ultra-low-leakage and robust 6T SRAM cells. We combine multiple asymmetries, namely, asymmetry in gate work function, source/drain doping concentration, and gate underlap, to address various SRAM design issues all at once. We propose five novel MPA FinFET SRAM cell designs and compare them with symmetric and Single-Parameter Asymmetric (SPA) FinFET SRAM cells using dc and transient metrics. We show that the leakage current of MPA FinFET SRAM cells can be reduced by up to 58 × while ensuring reasonable read/write stability metric values. In addition, high stability metric values can be achieved with 22 × leakage current reduction compared to the traditional symmetric FinFET SRAM cell. There is no area overhead associated with MPA FinFET SRAM cells.

3 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: In this article, a detailed study of the multilevel-cell (MLC) programming of RRAM arrays for neural network applications is presented, where the authors compare three MLC programming schemes and discuss their variations in terms of the different slopes in the programming characteristics.
Abstract: Resistive switching memory (RRAM) is a promising technology for embedded memory and its application in computing. In particular, RRAM arrays can provide a convenient primitive for matrix–vector multiplication (MVM) with strong impact on the acceleration of neural networks for artificial intelligence (AI). At the same time, RRAM is affected by intrinsic conductance variations, which might cause degradation of accuracy in AI inference hardware. This work provides a detailed study of the multilevel-cell (MLC) programming of RRAM for neural network applications. We compare three MLC programming schemes and discuss their variations in terms of the different slopes in the programming characteristics. We test the accuracy of a two-layer fully connected neural network (FC-NN) as a function of the MLC scheme, the number of weight levels, and the weight mapping configuration. We find a tradeoff between the FC-NN accuracy, size, and current consumption. This work highlights the importance of a holistic approach to AI accelerators encompassing the device properties, the overall circuit performance, and the AI application specifications.

43 citations

Proceedings ArticleDOI
13 May 2019
TL;DR: This paper analyzes the unique thermal characteristics of Mono3D ICs by simulating a two-tier flip-chip Mono3d IC and highlights the primary differences in comparison to a similarly-sized flip- chip TSV-based 3D IC.
Abstract: Monolithic 3D (Mono3D) is a three-dimensional integration technology that can overcome some of the fundamental limitations faced by traditional, two-dimensional scaling. This paper analyzes the unique thermal characteristics of Mono3D ICs by simulating a two-tier flip-chip Mono3D IC and highlights the primary differences in comparison to a similarly-sized flip-chip TSV-based 3D IC. Specifically, we perform architectural-level thermal simulations for both technologies and demonstrate that vertical thermal coupling is stronger in Mono3D ICs, leading to lower upper tier temperatures. We also investigate the significance of lateral versus vertical flow of heat in Mono3D ICs. We simulate different hot spot scenarios in a two-tier Mono3D IC and show that although the lateral heat flow is limited as compared to TSV-based 3D ICs, ignoring this mechanism can cause nonnegligible error (~4°C) in temperature estimation, particularly for layers farther from the heat sink. In addition, we show that with increasing interconnect utilization (due to the contribution of Joule heating to overall temperature), the on-chip temperatures and the significance of lateral heat flow within the two-tier Mono3D IC also increase. Finally, we discuss potential opportunities in Mono3D ICs to enhance their thermal integrity.

23 citations

Journal ArticleDOI
TL;DR: SPRING as discussed by the authors is a sparsity-aware reduced-precision monolithic 3D CNN accelerator that uses a binary mask scheme to encode sparsities and uses stochastic rounding algorithm to train CNNs with reduced precision without accuracy loss.
Abstract: CNNs outperform traditional machine learning algorithms across a wide range of applications. However, their ever-growing computational complexity makes it necessary to design efficient hardware accelerators. The potential performance improvement from sparsity has not been adequately addressed. The computation and memory footprint of CNNs can be significantly reduced if sparsity is exploited in network evaluations. It has been shown that activations and weights also have high sparsity levels during the network training phase. Hence, sparsity-aware computation should also be considered in the training phase. To further improve performance and energy efficiency, some accelerators evaluate CNNs with limited precision. However, this is limited to the inference phase since reduced precision sacrifices network accuracy if used in training. In addition, CNN evaluation is usually memory-intensive, especially during training. In this article, we propose SPRING, a SParsity-aware Reduced-precision Monolithic 3D CNN accelerator for trainING and inference. It uses a binary mask scheme to encode sparsities. It uses the stochastic rounding algorithm to train CNNs with reduced precision without accuracy loss. To alleviate the memory bottleneck in CNN evaluation, especially during training, SPRING uses an efficient monolithic 3D nonvolatile memory interface to increase memory bandwidth.

16 citations

Proceedings ArticleDOI
14 Apr 2021
TL;DR: In this article, the authors investigate the future directions of SIMD-based processor architectures by using the A64FX chip and a customized version of power/performance/area simulators, i.e., Gem5 and McPAT.
Abstract: Future HPC systems, including post-exascale supercomputers, will face severe problems such as the slowing-down of Moore's law and the limitation of power supply. To achieve desired system performance improvement while counteracting these issues, the hardware design optimization is a key factor. In this paper, we investigate the future directions of SIMD-based processor architectures by using the A64FX chip and a customized version of power/performance/area simulators, i.e., Gem5 and McPAT. More specifically, based on the A64FX chip, we firstly customize various energy parameters in the simulators, and then evaluate the power and area reductions by scaling the technology node down to 3nm. Moreover, we investigate also the achievable FLOPS improvement at 3nm by scaling the number of cores, SIMD width, and FP pipeline width under power/area constraints. The evaluation result indicates that no further SIMD/pipeline width scaling will help with improving FLOPS due to the memory system bottleneck, especially on L1 data caches and FP register files. Based on the observation, we discuss the future directions of SIMD-based HPC processors.

13 citations

Journal ArticleDOI
TL;DR: McPAT-monolithic, a framework for modeling HM multicore architectures, is introduced and simulations show that, under the same timing constraint, an HM design offers 47.2% reduction in footprint area and 5.3% in power consumption compared to a 2-D design at the cost of slightly higher on-chip temperature.
Abstract: Three-dimensional integrated circuits (3-D ICs) have the potential to push Moore’s law further by accommodating more transistors per unit footprint area along with a reduction in power consumption, interconnect length, and the number of repeaters Monolithic 3-D integration is particularly promising in this regard as it offers a very high connectivity between vertical transistor layers owing to its nanoscale monolithic intertier vias Monolithic integration can be realized at block-, gate-, and transistor-level granularity A hybrid monolithic (HM) design aims to further optimize area, power, and performance of the chip by combining different monolithic styles In this article, we introduce McPAT-monolithic, a framework for modeling HM multicore architectures We use the OpenSPARC T2 processor as a case study to compare different monolithic implementation styles and explore the benefits of HM design Our simulations show that, under the same timing constraint, an HM design offers 472% reduction in footprint area and 53% in power consumption compared to a 2-D design at the cost of slightly higher on-chip temperature

12 citations