scispace - formally typeset
Search or ask a question
Author

Atiqur Rahman

Bio: Atiqur Rahman is an academic researcher from South Asian University. The author has contributed to research in topics: Inverter & Frequency scaling. The author has an hindex of 4, co-authored 4 publications receiving 57 citations.

Papers
More filters
Proceedings ArticleDOI
01 Dec 2013
TL;DR: In this article, a green image ALU is designed in Xilinx ISE 14.6 using different IO standard of SSTL in 40nm Virtex-6 and Spartan-6 FPGA.
Abstract: In this paper, green Image ALU is designed in Xilinx ISE 14.6 using different IO standard of SSTL in 40nm Virtex-6 and Spartan-6 FPGA. We are comparing different SSTL IO standard to get reduction in IO power. We accomplish energy efficiency with respect to low voltage impedance, by using SSTL technology. In this entire work, we are using different classes of SSTL and observe that when image ALU operates at 1THz device operating frequency with SSTL18_I_DCI I/O Standard using virtex-6 FPGA, there is 45.55% decrease in IO power and 20.50% in Clock power as compared to SSTL18_II IO Standard. Similarly when we operate Image ALU at 1THz using Spartan-6, there is 33.31% reduction in IO power of SSTL18_I with respect to SSTL18_II Standard. There are 16 different arithmetic and logic operations in Image ALU. The Clock power, Logic power and Signal power of Image ALU remains same using Spartan-6 I/O Standard.

22 citations

Proceedings ArticleDOI
01 Feb 2014
TL;DR: In Text analysis, the current focus of researcher is on performance and there is a wide research gap to design energy efficient hardware which is in use in text analysis.
Abstract: In Text analysis, the current focus of researcher is on performance. There is a wide research gap to design energy efficient hardware which is in use in text analysis. When room temperature is 25 degree Celsius, there is 60.01%, 39.98%, 20% reduction in Clock Power when we scale down device operating frequency from 250GHz to 200GHz, 150GHz and 100GHz respectively. When ambient temperature is 50 degree Celsius, there is 55.56%, 33.33%, 16.67% reduction in Logic Power when we scale down device operating frequency from 250GHz to 200GHz, 150GHz and 100GHz respectively. When ambient temperature is 75 degree Celsius, there is 59.91%, 39.67%, 19.83% reduction in Signal Power when we scale down device operating frequency from 250GHz to 200GHz, 150GHz and 100GHz respectively. When ambient temperature is 100 degree Celsius, there is 60%, 40%, 20% reduction in IOs Power when we scale down device operating frequency from 250GHz to 200GHz, 150GHz and 100GHz respectively. With Thermal Scaling, there is no change in Clock Power, Logic Power, Signal Power and IOs Power. There is 26.56%, 64.73%, 79.46% significant reduction of leakage power when we scale down ambient temperature from100 C to 75 C, 50 C and 25 C.

19 citations

Journal ArticleDOI
TL;DR: The aim is to design a low power Devnagari Unicode Checker (DUC) using CGVS technique, which is the combination of two existing techniques i.e. Clock gating and Voltage Scaling.
Abstract: In this paper we have introduced a new approach called Clock Gating and Voltage Scaling (CGVS), which is the combination of two existing techniques i.e. Clock gating and Voltage Scaling. Our aim is to design a low power Devnagari Unicode Checker (DUC) using CGVS technique. This design is implemented on Kintex-7 FPGA families, XC7K70T device, -3 speed grade and FBG676 package. From our analysis, it is observed that, with the use of clock gated technique in our target circuit and with the scaling of voltage from 1.0V to 0.1V, we are achieving clock power reduction of 98.98% on 10GHz and 1THz operating frequencies. Under same voltage scaling scheme, there is 6.66%, 10.38%, 10.64% and 10.62% less reduction in IO power, when the target circuit is operating on 1GHz, 10GHz, 100GHz and 1THz operating frequencies.

11 citations

Proceedings ArticleDOI
01 Dec 2013
TL;DR: In this work, Capacitance scaling and Frequency scaling is done in order to make energy efficient Image Inverter design and results variations in power consumption and the Junction temperature of Image inverter.
Abstract: In this work, Capacitance scaling and Frequency scaling is done in order to make energy efficient Image Inverter design. Frequency scaling results variations in power consumption and the Junction temperature of Image Inverter. There is 93.33% change in Logic power, 98.06% change in Signals power, 99.00%change in IOs power, 92.02% change in Leakage power and 77.6% change in Junction temperature. Clocks power, Logic power and Signal powers are independent of the capacitance scaling while the frequency is constant. At the same time IOs power, Leakage power as well as the Junction temperature varies. Along with fixed 1GHz frequency it is found that there is 71.92% increment on IOs power while capacitance is incremented by 90%. At the same time there is a 2.4% increment found in Leakage power while Junction temperature faces an change of 7.14%.

6 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: A power-efficient universal asynchronous receiver transmitter (UART) is implemented on 28 nm Artix-7 field-programmable gate array (FPGA) to reduce the power utilization of UART with the FPGA device in industries.
Abstract: In the present scheme of the world, the problem of shortage of power is seen across the world which can be a vulnerability to various communication securities. The scope of proposed research is that it is a step towards completing green communication technology concepts. In order to improve energy efficiency in communication networks, we designed UART using different nanometers of FPGA, which consumes the least amount of energy. This shortage is happening because of expanding of industries across the world and the rapid growth of the population. Therefore, to save the power for our upcoming generation, the globe is moving towards the concept and ideas of green communication and power-/energy-efficient gadget. In this work, a power-efficient universal asynchronous receiver transmitter (UART) is implemented on 28 nm Artix-7 field-programmable gate array (FPGA). The objective of this work is to reduce the power utilization of UART with the FPGA device in industries. To do this, the same authors have used voltage scaling techniques and compared the results with the existing FPGA works.

77 citations

Proceedings ArticleDOI
14 Nov 2014
TL;DR: This work is integrating thermal aware design approach in energy efficient Vedic multiplier on FPGA with mechanism of ambient (room) temperature scaling and energy efficient LVCMOS I/O standard.
Abstract: In this work, we are integrating thermal aware design approach in energy efficient Vedic multiplier on FPGA. In the beginning of this universe, Veda describes heat receiving from the Sun god as Suryamrit (Surya i.e. Sun +Amrit i.e. Nectar= Suryamrit i.e. Nectar coming from the Sun God). Now, whole world is feeling anxious about temperature. How our thinking pattern is changing with evolution of mankind? This paper deals with that question and the whole work is going in direction to get solution of this problem with mechanism of ambient (room) temperature scaling and energy efficient LVCMOS I/O standard. LVCMOS is an acronym for low voltage complementary metal oxide semiconductor. In this Vedic multiplier, we are using three LVCMOS I/O standard. LVCMOS12 is available only in 65nm and 40nm FPGA. Rest LVCMOS18 and LVCMOS25 is available among 40nm, 65nm and 90nm FPGA. In order to test the thermal sustainability of our Vedic multiplier, we are testing it in three different room temperature 20°C, 30°C, and 40°C. Using LVCMOS25, there is 12.99%, 19.23% and 10.28% reduction in power dissipation on 90nm, 65nm and 40nm respectively. For LVCMOS25, when our Vedic multiplier design is migrated from 40nm design to 90nm design, there is 87.72% reduction in power dissipation of Vedic multiplier when temperature is constant 20°C.

21 citations

Proceedings ArticleDOI
22 Apr 2014
TL;DR: This work has used Verilog as HDL and Xilinx ISE 14.6 as simulator to design the voltage based efficient fire sensor and has used four different kinds of Stub Series Terminated Logic (SSTL)IO standards.
Abstract: In this paper an approach is made to design the voltage based efficient fire sensor and for that reason we have used four different kinds of Stub Series Terminated Logic (SSTL)IO standards. Airflow and heat sink are main parameters while analyzing the thermal dissipation in the circuit. In this work we have taken two values for LFM i.e. 250, 500 and three profiles for heat sink are taken, these are low profile, medium profile and high profile. When the voltage sensor is operating at 1THz and LFM is 250 with low profile heat sink, junction temperature of SSTL135_DCI is reduced up to 5.12% 6.03% and 20.77% as compared to SSTL12, SSTL12_DCI and SSTL135_R respectively. Under same operating frequency and heat sink profile with LFM as 500, we are achieving 3.69%, 5.22% and 17.99% less junction power reduction in SSTL135_DCI with respect to SSTL12, SSTL12_DCI and S S TL135_Rrespectively. This design is implemented on Kintex-7 FPGA, XC7K70T device and −3 speed grades. In this work we have used Verilog as HDL and Xilinx ISE 14.6 as simulator.

17 citations

Journal ArticleDOI
TL;DR: There is 50-60% reduction in power dissipation, which is possible with proper selection of the most energy efficient IO standards i.e. SSTL135_R among S STL logic families.
Abstract: In this particular work, we have done power dissipation analysis of DES algorithm, implemented on 28nm FPGA. We have used Xilinx ISE software development kit for all the observation done in this particular research work. Here, we have taken SSTL (StubSeries Terminated Logic) as input-output standard. We have considered six subcategories of SSTL (i.e. SSTL135, SSTL135_R, SSTL15, SSTL15_R, SSTL18_I and SSTL18_II) for four different WLAN frequencies (i.e. 2.4GHz, 3.6GHz, 4.9GHz, and 5.9GHz). We have done analysis considering five basic powers i.e. clock power, logic power, signal power, IOs power, leakage power and total power. There is 50-60% reduction in power dissipation, which is possible with proper selection of the most energy efficient IO standards i.e. SSTL135_R among SSTL logic families.

16 citations

Proceedings ArticleDOI
01 Nov 2014
TL;DR: This work is operating ROM with the highest operating frequency of 4th generation i7 processor to test the compatibility of this design with the latest hardware in use, using Verilog hardware description language, Virtex-6 FPGA, and Xilinx ISE simulator.
Abstract: Stub Series Terminated Logic (SSTL) is an Input/output standard. It is used to match the impedance of line, port and device of our design under consideration. Therefore, selection of energy efficient SSTL I/O standard among available different class of SSTL logic family in FPGA, plays a vital role to achieve energy efficiency in design under test (DUT). Here, DUT is ROM. ROM is an integral part of processor. Therefore, energy efficient design of RAM is a building block of energy efficient processor. We are using Verilog hardware description language, Virtex-6 FPGA, and Xilinx ISE simulator. We are operating ROM with the highest operating frequency of 4th generation i7 processor to test the compatibility of this design with the latest hardware in use. When there is no demand of peak performance, then we can save 74.5% clock power, 75% signal power, and 30.83% I/O power by operating our device with 1GHz frequency in place of 4GHz. There is no change in clock power and signal power but SSTL2_H_DCI having 80.24% 83.38% 62.92% and 76.52% and 83.03% more I/O power consumption with respect to SSTL2_I, SST18_I, SSTL2_I_DCI, SSTL2_II, and SSTL15 respectively at 3.3GHz.

14 citations