scispace - formally typeset
Search or ask a question
Author

Baoguo Wang

Bio: Baoguo Wang is an academic researcher from Chongqing University of Posts and Telecommunications. The author has contributed to research in topics: Wireless sensor network & Clock synchronization. The author has an hindex of 4, co-authored 6 publications receiving 55 citations.

Papers
More filters
Journal ArticleDOI
TL;DR: This paper investigates the clock synchronization schemes of active node and overhearing node with immediate clock readjustment and proposes the maximum-likelihood estimators of the clock skew and the corresponding Cramer–Rao lower bounds, derived assuming Gaussian delays.
Abstract: Time synchronization is indispensable for convenient network management, device monitoring, security, and other fundamental operations in industrial wireless sensor networks (IWSNs) Over the past few decades, a wide variety of highly accurate clock synchronization protocols have been investigated by employing powerful statistical signal processing techniques However, most two-way exchange estimation schemes do not readjust the node's local clock upon every resynchronization before the clock parameters are estimated And it may not be appropriate in IWSNs where time synchronization is consistently required Based on the two-way message exchange mechanism, this paper investigates the clock synchronization schemes of active node and overhearing node with immediate clock readjustment The maximum-likelihood estimators of the clock skew and the corresponding Cramer–Rao lower bounds are derived assuming Gaussian delays Simulation and experimental results validate the performance of the proposed estimators

41 citations

Journal ArticleDOI
TL;DR: This paper investigates the synchronization scheme of having inactive nodes overhearing the pairwise sender-receiver time synchronization based on acknowledgement with clock correction at every synchronization, and derives the maximum likelihood estimators of clock skew and the corresponding approximate Cramer-Rao lower bounds for active and overhearing nodes.
Abstract: Time synchronization is crucial for wireless sensor networks (WSNs) since it maintains data consistency, coordination, and enables other fundamental operations. Over the last decade, a number of powerful time synchronization algorithms have been proposed for clock accuracy optimization by using signal processing techniques. However, most of these algorithms attempt to estimate the clock phase offset and skew and do not correct the node's local clock at every timing packet exchange before the clock parameters are jointly estimated, which reduces the network synchronization accuracy during the estimation of clock parameters and limits the applicability of the synchronization algorithms for some practical sensor networks where a global time scale is consistently required. Motivated by this, this paper investigates the synchronization scheme of having inactive nodes overhearing the pairwise sender-receiver time synchronization based on acknowledgement with clock correction at every synchronization. Assuming exponential random delays, the maximum likelihood estimators (MLEs) of clock skew and the corresponding approximate Cramer-Rao lower bounds (CRLBs) for active and overhearing nodes are derived. In addition, we also consider a linear synchronization model that the clock skew is directly estimated. Simulation results verify the efficiency of the clock skew estimators.

24 citations

Patent
22 Feb 2017
TL;DR: In this article, a clock synchronizing frequency deviation estimation method applicable to a multi-hop wireless sensor network is proposed, where the node can correct the local clock in each synchronous information interaction process, and the synchronization precision error of the node is enabled to be always kept at a relatively low level.
Abstract: The invention relates to a clock synchronizing frequency deviation estimation method applicable to a multi-hop wireless sensor network, and belongs to the technical field of the wireless sensor network. In the clock synchronizing frequency deviation estimation method, two methods, including, a forwarding method and an unvarnished transmission method, are provided based on demands of a node for synchronization precision: A, in the forwarding method, a node in an intermediate layer initiates synchronous interaction with a node in the next layer at the same time interval after every correction time; and B, in the unvarnished transmission method, the processing time of the node in the intermediate layer is regarded as an additionally introduced fixed time delay and random time delay, and the node directly establishes a synchronization relationship with a root node. According to the estimation method provided by the invention, the node can correct the local clock in each synchronous information interaction process, the synchronization precision error of the node is enabled to be always kept at a relatively low level, and the problem of synchronization precision uncontrollability in a synchronization parameter estimation process is accordingly overcome.

6 citations

Journal ArticleDOI
TL;DR: A global clock skew estimation scheme with immediate clock adjustment is presented based on the hierarchical network and the maximum likelihood estimator and corresponding Cramer–Rao lower bound of the clock skew are derived under the Gaussian delay model.
Abstract: Time synchronization is extremely important for wireless sensor networks (WSNs). For large-scale WSNs, hierarchical clock synchronization, which can effectively save energy by reducing communication overhead, has become an attractive approach in practical networks. Based on the hierarchical network, in this paper, we present a global clock skew estimation scheme with immediate clock adjustment. The maximum likelihood estimator and corresponding Cramer–Rao lower bound of the clock skew are derived under the Gaussian delay model, and the simulation results verify that the proposed method is efficient.

6 citations

Patent
11 Dec 2013
TL;DR: In this paper, a wireless sensor network firmware updating method based on an XMPP protocol is proposed, which can effectively guarantee realtime performance of the industrial WSN and improve overall management quality and efficiency of the network.
Abstract: The invention provides a wireless sensor network firmware updating method based on an XMPP, and relates to the technical field of wireless sensor networks. According to the wireless sensor network firmware updating method, on the basis of an XMPP series protocol standard, corresponding expansion is carried out on a core protocol of the XMPP; a manager system is designed to be provided with a system management object according to application requirements of an industrial wireless sensor network and by means of characteristics of the object; each equipment node in the wireless sensor network is provided with a firmware updating management object and management of the network is achieved through a mode of operating the management object. The wireless sensor network firmware updating method can effectively guarantee real-time performance of the industrial wireless sensor network and improve overall management quality and efficiency of the network.

1 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: A Gaussian distribution-based comprehensive trust management system (GDTMS) for F-IWSN that can effectively prevent the appearance of network holes, and balance the network load, promote the survivability of the network and is better than other similar algorithms.
Abstract: Based on fog computer, an industrial wireless sensor network (F-IWSN) is a novel wireless sensor network in the industry. It not only can more efficiently reduce information transmission latency, but also can more beneficially achieve the real-time control and the rapid resource scheduling. However, similar to other distributed networks, it also faces enormous security challenges, especially those internal attacks. The differences from those traditional security schemes are that, one is the trade-off between security, transmission performance and energy consumption to meet the requirements of information convergence and control, the other constructs a multi-dimensional selective forwarding scheme to achieve the real time transmission. In this paper, we propose a Gaussian distribution-based comprehensive trust management system (GDTMS) for F-IWSN. Furthermore, in its trust decision, the grey decision making is introduced to achieve the trade-off between security, transmission performance and energy consumption. The proposed trade-off can effectively select the secure and robust relay node, namely, a trust management-based secure routing scheme. In addition, the proposed schemes are also applicable to defending against bad mouthing attacks. Simulation results show that, the comprehensive performance of GDTMS is better than other similar algorithms. It can effectively prevent the appearance of network holes, and balance the network load, promote the survivability of the network.

53 citations

Journal ArticleDOI
TL;DR: This paper investigates the clock synchronization schemes of active node and overhearing node with immediate clock readjustment and proposes the maximum-likelihood estimators of the clock skew and the corresponding Cramer–Rao lower bounds, derived assuming Gaussian delays.
Abstract: Time synchronization is indispensable for convenient network management, device monitoring, security, and other fundamental operations in industrial wireless sensor networks (IWSNs) Over the past few decades, a wide variety of highly accurate clock synchronization protocols have been investigated by employing powerful statistical signal processing techniques However, most two-way exchange estimation schemes do not readjust the node's local clock upon every resynchronization before the clock parameters are estimated And it may not be appropriate in IWSNs where time synchronization is consistently required Based on the two-way message exchange mechanism, this paper investigates the clock synchronization schemes of active node and overhearing node with immediate clock readjustment The maximum-likelihood estimators of the clock skew and the corresponding Cramer–Rao lower bounds are derived assuming Gaussian delays Simulation and experimental results validate the performance of the proposed estimators

41 citations

Journal ArticleDOI
TL;DR: A digital-twin-enabled model-based scheme is proposed to achieve an intelligent clock synchronization for reducing resource consumption associated with distributed synchronization in fast-changing IIoT environments and a significant enhancement on the clock accuracy is accomplished with dramatically reduced communication resource consumption in networks with different packet delay variations.
Abstract: Tight cooperation among distributively connected equipment and infrastructures of an Industrial-Internet-of-Things (IIoT) system hinges on low latency data exchange and accurate time synchronization within sophisticated networks. However, the temperature-induced clock drift in connected industry facilities constitutes a fundamental challenge for conventional synchronization techniques due to dynamic industrial environments. Furthermore, the variation of packet delivery latency in IIoT networks hinders the reliability of time information exchange, leading to deteriorated clock synchronization performance in terms of synchronization accuracy and network resource consumption. In this article, a digital-twin-enabled model-based scheme is proposed to achieve an intelligent clock synchronization for reducing resource consumption associated with distributed synchronization in fast-changing IIoT environments. By leveraging the digital-twin-enabled clock models at remote locations, required interactions among distributed IIoT facilities to achieve synchronization is dramatically reduced. The virtual clock modeling in advance of the clock calibrations helps to characterize each clock so that its behavior under dynamic operating environments is predictable, which is beneficial to avoiding excessive synchronization-related timestamp exchange. An edge-cloud collaborative architecture is also developed to enhance the overall system efficiency during the development of remote digital-twin models. Simulation results demonstrate that the proposed scheme can create an accurate virtual model remotely for each local clock according to the information gathered. Meanwhile, a significant enhancement on the clock accuracy is accomplished with dramatically reduced communication resource consumption in networks with different packet delay variations.

39 citations

Journal ArticleDOI
TL;DR: A rapid-flooding multiple one-way broadcast time-synchronization (RMTS) protocol for large-scale wireless sensor networks is proposed, which uses maximum likelihood estimations for clock skew estimation and clock offset estimation, and quickly shares the estimations among the networks to minimize the by-hop error accumulation.
Abstract: Accurate and fast-convergent time synchronization is very important for wireless sensor networks. The flooding time synchronization converges fast, but its transmission delay and by-hop error accumulation seriously reduce the synchronization accuracy. In this article, a rapid-flooding multiple one-way broadcast time-synchronization (RMTS) protocol for large-scale wireless sensor networks is proposed. To minimize the by-hop error accumulation, the RMTS uses maximum likelihood estimations for clock skew estimation and clock offset estimation, and quickly shares the estimations among the networks. As a result, the synchronization error resulting from delays is greatly reduced, while faster convergence and higher-accuracy synchronization is achieved. Extensive experimental results demonstrate that, even over 24-hops networks, the RMTS is able to build accurate synchronization at the third synchronization period, and moreover, the by-hop error accumulation is slower when the network diameter increases.

31 citations

Journal ArticleDOI
TL;DR: This paper proposes an adaptive beacon time synchronization (ABTS) algorithm to enhance timing message synchronization in large-scale VANETs and derives maximum likelihood (ML) estimation and its equivalent Cramer-Rao lower bound (CRLB) for clock offset design in the generalized sender-to-receiver system.
Abstract: The time synchronization in vehicular ad hoc networks (VANETs) has gained significant attention in recent times. In this paper, we focus on the time synchronization in large-scale VANETs and propose an adaptive beacon time synchronization (ABTS) algorithm to enhance timing message synchronization. ABTS algorithm selects the best time synchronization pairs to decrease the number of timing messages transmitted. To guarantee the reliability of synchronization, the clock offset of the connected vehicles periodically readjust to provide a better degree of synchronization accuracy with minimum energy consumption. Based on two-way timing message synchronization, we derive maximum likelihood (ML) estimation and its equivalent Cramer-Rao lower bound (CRLB) for clock offset design in the generalized sender-to-receiver system by assuming a Gaussian noise model. We propose node pair selection algorithms to improve pairwise beacon message timing synchronization. The simulation results validate that our proposed ABTS algorithm performs better than other protocols in terms of synchronization accuracy, the rate of convergence and energy efficiency.

29 citations