scispace - formally typeset
Search or ask a question
Author

Benjamen M. Rathsack

Other affiliations: University of Texas at Austin
Bio: Benjamen M. Rathsack is an academic researcher from Tokyo Electron. The author has contributed to research in topics: Resist & Photoresist. The author has an hindex of 16, co-authored 49 publications receiving 645 citations. Previous affiliations of Benjamen M. Rathsack include University of Texas at Austin.

Papers
More filters
Patent
18 Sep 2015
TL;DR: In this article, a method of patterning a layered substrate is provided that includes forming a layer of a block copolymer on a substrate, annealing the layer of the blockcopolymer to affect microphase segregation such that self-assembled domains are formed, and then re-annealing it a second time to refine or modify the micro-phase segregation.
Abstract: A method of patterning a layered substrate is provided that includes forming a layer of a block copolymer on a substrate, annealing the layer of the block copolymer to affect microphase segregation such that self-assembled domains are formed, and annealing the layer of the block copolymer a second time to refine or modify the microphase segregation, where one of the annealing steps uses an absorption based heating method.

103 citations

Proceedings ArticleDOI
TL;DR: In this article, a PS-b-PMMA hole shrink process was used to reduce negative tone developed pre-pattern holes to below 30 nm with critical dimension uniformity (CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 1.8 nm.
Abstract: Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards line edge roughness (LER) and pattern collapse improvement [1-4]. The current challenges for industry adoption are materials maturity, practical process integration, hardware capability, defect reduction and design integration. Tokyo Electron (TEL) has created close collaborations with customers, consortia and material suppliers to address these challenges with the long term goal of robust manufacturability. This paper provides a wide range of DSA demonstrations to accommodate different device applications. In collaboration with IMEC, directed line/space patterns at 12.5 and 14 nm HP are demonstrated with PS-b-PMMA (poly(styrene-b-methylmethacrylate)) using both chemo and grapho-epitaxy process flows. Pre-pattern exposure latitudes of g25% (max) have been demonstrated with 4X directed self-assembly on 300 mm wafers for both the lift off and etch guide chemo-epitaxy process flows. Within TEL's Technology Development Center (TDC), directed selfassembly processes have been applied to holes for both CD shrink and variation reduction. Using a PS-b-PMMA hole shrink process, negative tone developed pre-pattern holes are reduced to below 30 nm with critical dimension uniformity (CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 0.8 nm. To generate higher resolution beyond a PS-b-PMMA system, a high chi material is used to demonstrate 9 nm HP line/ space post-etch patterns. In this paper, TEL presents process solutions for both line/space and hole DSA process integrations.© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

76 citations

Patent
29 Nov 2007
TL;DR: In this paper, the hot-spot library is used for processing substrates using a hot-spaces library, which is similar to the one we use in this paper, but different in many ways.
Abstract: The invention provides apparatus and methods for processing substrates using a hot-spot library.

46 citations

Proceedings ArticleDOI
TL;DR: TEL’s latest process solutions for both hole and line/space DSA process integrations are presented and fundamental process studies and simulations are used to drive process improvement and defect investigation.
Abstract: Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.

30 citations

Proceedings ArticleDOI
TL;DR: The processes and hardware that are emerging as critical enablers for DSA implementation are discussed, and the kinds of high fidelity patterns typical of mainstream DSA integrations are demonstrated.
Abstract: Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.

28 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: In this article, the authors parse the vast literature to examine the forefront of the field of block polymers and identify exciting themes and challenging opportunities that portend a bracing future trajectory.
Abstract: Block polymers have undergone extraordinary evolution since their inception more than 60 years ago, maturing from simple surfactants to an expansive class of macromolecules encoded with exquisite attributes. Contemporary synthetic accessibility coupled with facile characterization and rigorous theoretical advances have conspired to continuously generate fundamental insights and enabling concepts that target applications spanning chemistry, biology, physics, and engineering. Here, we parse the vast literature to examine the forefront of the field and identify exciting themes and challenging opportunities that portend a bracing future trajectory. This Perspective celebrates the visionary role played by Macromolecules in advancing our understanding of this remarkable class of materials.

542 citations

Journal ArticleDOI
TL;DR: In this article, the current state of block copolymer lithography and key challenges and opportunities within the field are discussed, focusing on advances and issues related to thermal annealing.
Abstract: This Perspective addresses the current state of block copolymer lithography and identifies key challenges and opportunities within the field. Significant strides in experimental and theoretical thin film research have nucleated the transition of block copolymers “from lab to fab”, but outstanding questions remain about the optimal materials, processes, and analytical techniques for first-generation devices and beyond. Particular attention herein is focused on advances and issues related to thermal annealing. Block copolymers are poised to change the traditional lithographic resolution enhancement paradigm from “top-down” to “bottom-up”.

511 citations

Book
24 May 2019
TL;DR: The second edition of this book as discussed by the authors was written to address several needs, and the revisions for the second edition were made with those original objectives in mind, and many new topics have been included in this text commensurate with the progress that has taken place during the past few years, and several subjects are discussed in more detail.
Abstract: Lithography is a field in which advances proceed at a swift pace. This book was written to address several needs, and the revisions for the second edition were made with those original objectives in mind. Many new topics have been included in this text commensurate with the progress that has taken place during the past few years, and several subjects are discussed in more detail. This book is intended to serve as an introduction to the science of microlithography for people who are unfamiliar with the subject. Topics directly related to the tools used to manufacture integrated circuits are addressed in depth, including such topics as overlay, the stages of exposure, tools, and light sources. This text also contains numerous references for students who want to investigate particular topics in more detail, and they provide the experienced lithographer with lists of references by topic as well. It is expected that the reader of this book will have a foundation in basic physics and chemistry. No topics will require knowledge of mathematics beyond elementary calculus.

508 citations

Journal ArticleDOI
TL;DR: Directed self-assembly of block copolymers (BCPs) on chemically nanopatterned surfaces (or chemical patterns) combines advantages of conventional photolithography and polymeric materials and shows promise for meeting a sufficiently inclusive set of manufacturing constraints for applications in semiconductors and data storage.

175 citations

Patent
25 Feb 2014
TL;DR: In this paper, a gas inlet system for a wafer processing reactor includes a tubular gas manifold conduit adapted to be connected to a gas-inlet port of the Wafer Processing Reactor.
Abstract: A gas inlet system for a wafer processing reactor includes a tubular gas manifold conduit adapted to be connected to a gas inlet port of the wafer processing reactor; and gas feeds including a first feed for feeding a first gas into the tubular gas manifold conduit and a second feed for feeding a second gas into the tubular gas manifold conduit. Each feed has two or more injection ports connected to the tubular gas manifold conduit at a first axial position of the tubular gas manifold conduit, and the injection ports of each of the gas feeds are evenly distributed along a circumference of the tubular gas manifold conduit at the first axial position.

165 citations