scispace - formally typeset
Search or ask a question
Author

Bettina Rebaud

Bio: Bettina Rebaud is an academic researcher from Commissariat à l'énergie atomique et aux énergies alternatives. The author has contributed to research in topics: Electronic circuit & Voltage. The author has an hindex of 5, co-authored 15 publications receiving 63 citations.

Papers
More filters
Journal ArticleDOI
TL;DR: A new monitoring system, allowing failure anticipation in real-time, looking at the timing slack of a pre-defined set of observable flip-flops, is described, made of dedicated sensor structures located near monitored flips, coupled with a specific timing detection window generator, embedded within the clock-tree.

17 citations

Proceedings ArticleDOI
18 May 2009
TL;DR: A new monitoring structure, located in parallel of a pre-defined observable flip-flop, coupled with a specific detection window generation, embedded within the clock-tree, can anticipate timing violations to prevent system failures in real-time.
Abstract: To deal with variations, statistical methodologies can be completed by monitoring techniques implemented to cope with dynamic variations while keeping optimized operating points. This paper proposes a new monitoring structure, located in parallel of a pre-defined observable flip-flop. This structure, coupled with a specific detection window generation, embedded within the clock-tree, can anticipate timing violations to prevent system failures in real-time. Performances simulated in a 45 nm technology demonstrate a scalable, low power and low area cell which can be easily inserted in a standard CAD flow.

13 citations

Patent
20 Apr 2010
TL;DR: In this article, the authors describe a digital electronic circuit with a plurality of sequential elements, including at least one data-conducting path connecting an input sequential element to a destination sequential element, and a clock outputting a clock signal (clk) on a clock tree for setting the speed of the sequential elements.
Abstract: The invention relates to a digital electronic circuit (100), including: a plurality of sequential elements (103; 104; 105; 106); at least one data-conducting path (101; 102) connecting an input sequential element to a destination sequential element; a clock outputting a clock signal (clk) on a clock tree for setting the speed of the sequential elements; characterised in that said circuit includes a monitoring device (S1; S2) receiving, as an input, at least one data signal (D1, D2) travelling on a conducting path and arriving at a destination sequential element, the monitoring device including: a means (S2; X3) for defining at least one detection window according to the clock tree; and a means (X1) for detecting a transition of each data signal received during a detection window; and wherein each detection window is defined so as to enable the detection or anticipation of a fault corresponding to a violation of the rise time or the maintenance time of a data signal relative to a clock signal edge received by the destination sequential element receiving said data signal.

11 citations

Proceedings ArticleDOI
01 Oct 2009
TL;DR: A new on-chip monitoring system, allowing failure anticipation in real-time, in looking at the timing slack of a pre-defined set of observable flip-flops, made of special structures situated near the flip- flops, coupled with a specific detection window generator, embedded within the clock-tree.
Abstract: PVT monitors are mandatory to use tunable knobs designed to compensate the variability effects. This paper describes a new on-chip monitoring system, allowing failure anticipation in real-time, in looking at the timing slack of a pre-defined set of observable flip-flops. This system is made of special structures situated near the flip-flops, coupled with a specific detection window generator, embedded within the clock-tree. Validation and performances simulated in a 45 nm technology demonstrate a scalable, low power and low area fine-grain system, easily insertable in a standard CAD flow.

9 citations

Book ChapterDOI
09 Sep 2009
TL;DR: A new on-chip monitoring system and its associated integration flow is proposed, allowing timing failure anticipation in real-time, observing the timing slack of a pre-defined set of observable flip-flops.
Abstract: PVT information is mandatory to control specific knobs to compensate the variability effects. In this paper, we propose a new on-chip monitoring system and its associated integration flow, allowing timing failure anticipation in real-time, observing the timing slack of a pre-defined set of observable flip-flops. This system is made of specific structures located nearby the flip-flops, coupled with a detection window generator, embedded within the clock-tree. Validation and performances simulated in a 45 nm technology demonstrate a scalable, low power and low area, fine-grain system. The integration flow results exhibit the weak impact of the insertion of this monitoring system toward the large benefits of tuning the circuit at its optimum working point.

7 citations


Cited by
More filters
Proceedings ArticleDOI
18 Mar 2013
TL;DR: This work observes that most existing slack monitoring methods exclusively focus on monitoring path ending registers, which is not cost efficient from power and area perspectives.
Abstract: In situ monitoring is an accurate way to monitor circuit delay or timing slack, but usually incurs significant overhead. We observe that most existing slack monitoring methods exclusively focus on monitoring path ending registers, which is not cost efficient from power and area perspectives.In this paper, we propose SlackProbe methodology, which inserts timing slack monitors like "probes" at a selected set of nets, including intermediate nets along critical paths. SlackProbe can significantly reduce the total number of monitors required at the cost of some additional delay margin. It can be used to detect impending delay failures due to various reasons (process variations, ambient fluctuations, circuit aging, etc.) and can be used with various preventive actions (e.g. voltage/frequency scaling, clock stretching/time borrowing, etc.). Though we focus on monitor selection in this work, we give an example of using SlackProbe with adaptive voltage scaling.Experimental results on commercial processors show that with 5% more timing margin, SlackProbe can reduce the number of monitors by 15-18X as compared to the number of monitors inserted at path ending pins.

48 citations

Journal ArticleDOI
TL;DR: Sl SlackProbe methodology is proposed, which inserts timing slack monitors like probes at a selected set of nets, including intermediate nets along critical paths, to detect impending delay failures due to various reasons and can be used with various preventive actions.
Abstract: In situ monitoring is an accurate way to monitor circuit delay or timing slack, but usually incurs significant overhead. We observe that most existing slack monitoring methods focus exclusively on monitoring path endpoints, which is not cost efficient from power and area perspectives. In this paper, we first propose SlackProbe methodology, which inserts timing slack monitors like probes at a selected set of nets, including intermediate nets along critical paths. SlackProbe can be used to detect impending delay failures due to various reasons (process variations, ambient fluctuations, circuit aging, etc.) and can be used with various preventive actions (e.g., voltage/frequency scaling, clock stretching/time borrowing, etc.). Then we perform thorough analysis of the potential benefits and caveats of SlackProbe over conventional approaches in terms of number of monitors required, monitoring efficiency and observability, delay margin, and design perturbation. Experimental results on commercial processors show that with 5% extra timing margin, SlackProbe can reduce the number of monitors by 12-16X as compared to the number of monitors inserted at path ending pins. SlackProbe can also improve the monitoring efficiency by up to 1.9X and improve the monitoring observability by up to 32%, as compared to endpoint monitoring.

33 citations

Journal ArticleDOI
TL;DR: This work proposes a runtime variability-aware workload distribution technique for enhancing real-time predictability and energy efficiency based on an innovative Linear-Programming + Bin-Packing formulation which can be solved in linear time.
Abstract: Multimedia streaming applications running on next-generation parallel multiprocessor arrays in sub-45 nm technology face new challenges related to device and process variability, leading to performance and power variations across the cores. In this context, Quality of Service (QoS), as well as energy efficiency, could be severely impacted by variability. In this work, we propose a runtime variability-aware workload distribution technique for enhancing real-time predictability and energy efficiency based on an innovative Linear-Programming + Bin-Packing formulation which can be solved in linear time. We demonstrate our approach on the virtual prototype of a next-generation industrial multicore platform running representative multimedia applications. Experimental results confirm that our technique compensates variability, while improving energy-efficiency and minimizing deadline violations in presence of performance and power variations across the cores. The proposed policy can save up to 33 percent of energy with respect to the state-of-the-art policies and 65 percent of energy with respect to one variability-unaware task allocation policy while providing better QoS.

31 citations

Proceedings ArticleDOI
06 May 2014
TL;DR: In this paper, the mechanisms involved during power supply voltage glitches are analyzed and described, and a new approach based on the study of propagation delay variation is presented to validate these solutions.
Abstract: Techniques using modification of power supplies to attack circuits do not require strong expertise or expensive equipment. Supply voltage glitches are then a serious threat to the security of electronic devices. In this paper, mechanisms involved during such attacks are analyzed and described. It is shown that timing properties of logic gates are very sensitive to power glitches and can be used to inject faults. For this reason, detection circuits which monitor timing properties of dedicated paths are designed to detect glitch attacks. To validate these solutions, a new approach based on the study of propagation delay variation is also presented. Following this approach, the performance of detection circuits can be evaluated at design level using a standard digital design flow.

26 citations