scispace - formally typeset
Search or ask a question
Author

Guan-Ruei Lu

Bio: Guan-Ruei Lu is an academic researcher from National Chiao Tung University. The author has contributed to research in topics: Routing (electronic design automation) & Biochip. The author has an hindex of 4, co-authored 5 publications receiving 40 citations.

Papers
More filters
Journal ArticleDOI
TL;DR: A negotiation-guided flow based on routing of subdroplets that obviates the explicit need for deciding when the droplets are to be manipulated, yet fully utilizing the power of droplet reshaping, splitting, and merging them to facilitate their journey is proposed.
Abstract: The active matrix (AM)-based architecture offers many advantages over conventional digital electrowetting-on-dielectric (EWOD) microfluidic biochips, such as the capability of handling variable-size droplets, more flexible droplet movement, and precise control over droplet navigation. However, a major challenge in choosing the routing paths is to decide when the droplets are to be reshaped depending on the congestion of the intended path, or split- and route sub droplets,and merging them at their respective destinations. As the number of microelectrodes in AM-EWOD chips is large, the path selection problem becomes further complicated. In this article, we propose a negotiation-guided flow based on routing of subdroplets that obviates the explicit need for deciding when the droplets are to be manipulated, yet fully utilizing the power of droplet reshaping, splitting, and merging them to facilitate their journey. The proposed algorithm reduces routing cost and provides more freedom in deadlock avoidance in the presence of multiple routing tasks by assigning certain congestion penalty for sibling subdroplets and fluidic penalty for heterogeneous droplets. Compared to existing techniques, it reduces latest arrival time by an average of 29% for several benchmark and random test suites. Furthermore, our method is observed to provide 100% routability of nets for all test cases, whereas existing and baseline routers fail to produce feasible solutions in many instances. We also propose a reliable mode droplet routing strategy where the number of unreliable splitting operations can be reduced by paying a small penalty on latest arrival time.

19 citations

Proceedings ArticleDOI
22 Jan 2018
TL;DR: This paper proposes, in this paper, a multi-level hierarchical approach that takes appropriate decisions on droplet splitting and reshaping that reduces maximum latest-arrivaltime by an average 18% and achieves 7% less average latest-Arrival-time.
Abstract: Active-Matrix (AM) technology is currently being used to implement a superior class of EWOD-based biochips, which consist of a dense 2D-array of microelectrodes. These chips offer many advantages over conventional biochips such as the capability of handling variable-size droplets, more flexibility in droplet movement, precise control over droplet navigation, and as a sequel, ease of implementing complex bioprotocols on-chip. However, the new technology poses a number of challenges concerning droplet routing. In order to enhance routability, we propose, in this paper, a multi-level hierarchical approach that takes appropriate decisions on droplet splitting and reshaping. Compared to the most recent routing methods used for EWOD, the proposed multi-level router reduces maximum latest-arrival-time by an average 18% and achieves 7% less average latest-arrival-time.

12 citations

Proceedings ArticleDOI
01 Jan 2016
TL;DR: This paper presents the first module and sensor co-placement algorithm of cyber-physical digital microfluidic biochips while considering the sensor constraint and minimizing the actuating times of electrodes to effectively minimize the bioassay completion time and satisfy the sensors constraint.
Abstract: Digital microfluidic biochips maximize the possibilities in modern healthcare applications, such as point-of-care (POC) tests and immunoassays. Because of the error-prone biochemical experiments, cyber-physical digital microfluidic biochips with sensor integration is essential. However, the correctness of bioassays is closely related to the actuating times of electrodes, which should be taken care of during the module placement stage. Moreover, sensor constraint in sensor planning should also be considered to avoid sensing errors. This paper presents the first module and sensor co-placement algorithm of cyber-physical digital microfluidic biochips while considering the sensor constraint and minimizing the actuating times of electrodes. The experimental results show that we can effectively minimize the bioassay completion time and satisfy the sensor constraint.

9 citations

Proceedings ArticleDOI
01 Jan 2017
TL;DR: An algorithm that minimizes the number of checkpoints and determines their locations to cover every path in a given droplet-routing solution and a checkpoint-aware routing algorithm to enable error-recovery and to ensure physical routability of all droplets are presented.
Abstract: In the area of biomedical engineering, digital-microfluidic biochips (DMFBs) have received considerable attention, because of their capability of providing an efficient and reliable platform for conducting point-of-care clinical diagnostics. System reliability, in turn, mandates error-recoverability while implementing biochemical assays on-chip for medical applications. Unfortunately, the technology of DMFBs is not yet fully equipped to handle error-recovery from various microfluidic operations involving droplet motion and reaction. Recently, a number of cyber-physical systems have been proposed to provide real-time checking and error-recovery in assays based on the feedback received from a few on-chip checkpoints. However, in order to synthesize robust feedback systems for different types of DMFBs, certain practical issues need to be considered such as co-optimization of checkpoint placement and layout of droplet-routing pathways. For application-specific DMFBs, we propose here an algorithm that minimizes the number of checkpoints and determines their locations to cover every path in a given droplet-routing solution. Next, for general-purpose DMFBs, where the checkpoints are pre-deployed in specific locations, we present a checkpoint-aware routing algorithm such that every droplet-routing path passes through at least one checkpoint to enable error-recovery and to ensure physical routability of all droplets. Our experiments on assay benchmarks show encouraging results in terms of latest-arrival-time and routability of droplets. The proposed methods thus provide convenient reliability-hardening mechanisms for a wide class of cyber-physical DMFBs.

6 citations

Journal ArticleDOI
TL;DR: An algorithm that minimizes the number of checkpoints and determines their locations to cover every path in a given droplet-routing solution is proposed, which provides reliability-hardening mechanisms for a wide class of cyber-physical DMFBs.
Abstract: In the area of biomedical engineering, digital-microfluidic biochips (DMFBs) have received considerable attention because of their capability of providing an efficient and reliable platform for conducting point-of-care clinical diagnostics. System reliability, in turn, mandates error-recoverability while implementing biochemical assays on-chip for medical applications. Unfortunately, the technology of DMFBs is not yet fully equipped to handle error-recovery from various microfluidic operations involving droplet motion and reaction. Recently, a number of cyber-physical systems have been proposed to provide real-time checking and error-recovery in assays based on the feedback received from a few on-chip checkpoints. However, to synthesize robust feedback systems for different types of DMFBs, certain practical issues need to be considered such as co-optimization of checkpoint placement, error-recoverability, and layout of droplet-routing pathways. For application-specific DMFBs, we propose here an algorithm that minimizes the number of checkpoints and determines their locations to cover every path in a given droplet-routing solution. Next, for general-purpose DMFBs, where the checkpoints are pre-deployed in specific locations, we present a checkpoint-aware routing algorithm such that every droplet-routing path passes through at least one checkpoint to enable error-recovery and to ensure physical routability of all droplets. Furthermore, we also propose strategies for executing the algorithms in reliable mode to enhance error-recoverability. The proposed methods thus provide reliability-hardening mechanisms for a wide class of cyber-physical DMFBs.

3 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: This paper defines security metrics and present techniques for improving performance through static checkpoint maps, and describes performance tradeoffs associated with static and random checkpoints.
Abstract: Digital microfluidic biochips (DMFBs) integrated with processors and arrays of sensors form cyberphysical systems and consequently face a variety of unique, recently described security threats. It has been noted that techniques used for error recovery can provide some assurance of integrity when a cyberphysical DMFB is under attack. This paper proposes the use of such hardware for security purposes through the randomization of checkpoints in both space and time, and provides design guidelines for designers of such systems. We define security metrics and present techniques for improving performance through static checkpoint maps, and describe performance tradeoffs associated with static and random checkpoints. We also provide detailed classification of attack models and demonstrate the feasibility of our techniques with case studies on assays implemented in typical DMFB hardware.

44 citations

Journal ArticleDOI
TL;DR: Recent design tools for high-level synthesis and optimization of map bioassay protocols on a MEDA biochip are described, with the help of these tools, biochip users can concentrate on the development of nanoscale bioassays, leaving details of chip optimization and implementation to software tools.
Abstract: Digital microfluidic biochips (DMFBs) are being increasingly used for DNA sequencing, point-of-care clinical diagnostics, and immunoassays. DMFBs based on a micro-electrode-dot-array (MEDA) architecture have recently been proposed, and fundamental droplet manipulations, e.g., droplet mixing and splitting, have also been experimentally demonstrated on MEDA biochips. There can be thousands of microelectrodes on a single MEDA biochip, and the fine-grained control of nanoliter volumes of biochemical samples and reagents is also enabled by this technology. MEDA biochips offer the benefits of real-time sensitivity, lower cost, easy system integration with CMOS modules, and full automation. This review paper first describes recent design tools for high-level synthesis and optimization of map bioassay protocols on a MEDA biochip. It then presents recent advances in scheduling of fluidic operations, placement of fluidic modules, droplet-size-aware routing, adaptive error recovery, sample preparation, and various testing techniques. With the help of these tools, biochip users can concentrate on the development of nanoscale bioassays, leaving details of chip optimization and implementation to software tools.

31 citations

Proceedings ArticleDOI
23 Apr 2019
TL;DR: A systematic algorithm is presented for the assignment of checkpoints required for error-recovery of available bioprotocols in case of hardware Trojans attacks in performing operations by biochip to enhance the security concerns of digital microfluidic biochips.
Abstract: Present security study involving analysis of manipulation of individual droplets of samples and reagents by digital microfluidic biochip has remarked that the biochip design flow is vulnerable to piracy attacks, hardware Trojans attacks, overproduction, Denial-of-Service attacks, and counterfeiting. Attackers can introduce bioprotocol manipulation attacks against biochips used for medical diagnosis, biochemical analysis, and frequent diseases detection in healthcare industry. Among these attacks, hardware Trojans have created a major threatening issue in its security concern with multiple ways to crack the sensitive data or alter original functionality by doing malicious operations in biochips. In this paper, we present a systematic algorithm for the assignment of checkpoints required for error-recovery of available bioprotocols in case of hardware Trojans attacks in performing operations by biochip. Moreover, it can guide the placement and timing of checkpoints so that the result of an attack is reduced, and hence enhance the security concerns of digital microfluidic biochips. Comparative study with traditional checkpoint schemes demonstrate the superiority of the proposed algorithm without overhead of the bioprotocol completion time with higher error detection accuracy.

7 citations

Proceedings ArticleDOI
21 Jan 2019
TL;DR: Simulation results reveal that over a large number of test-cases with the mixing volume constraint in the range of 4--10 units, FacDA requires around 38% fewer mixing steps, 52% less sample units, and generates approximately 23% less wastage, all on average, compared to two prior dilution algorithms used for MEDA chips.
Abstract: Sample preparation, an essential preprocessing step for biochemical protocols, is concerned with the generation of fluids satisfying specific target ratios and error-tolerance. Recent micro-electrode-dot-array (MEDA)-based DMF biochips provide the advantage of supporting both discrete and dynamic mixing models, the power of which has not yet been fully harnessed for implementing on-chip dilution and mixing of fluids. In this paper, we propose a novel factorization-based algorithm called FacDA for efficient and accurate dilution of sample fluid on a MEDA chip. Simulation results reveal that over a large number of test-cases with the mixing volume constraint in the range of 4--10 units, FacDA requires around 38% fewer mixing steps, 52% less sample units, and generates approximately 23% less wastage, all on average, compared to two prior dilution algorithms used for MEDA chips.

7 citations

Journal ArticleDOI
TL;DR: A pin addressing method based on a support vector machine (SVM) with the reliability constraint algorithm, which can fully consider the electrode addressing method and the reliability of the chip together is proposed.
Abstract: Digital microfluidic biochips (DMFBs) are increasingly important and are used for point-of-care, drug discovery, clinical diagnosis, immunoassays, etc. Pin-constrained DMFBs are an important part of digital microfluidic biochips, and they have gained increasing attention from researchers. However, many previous works have focused on the problem of electrode addressing and aimed to minimize the number of control pins in pin-constrained DMFBs. Although the number of control pins can be effectively redistributed through broadcast addressing technology, the chip reliability will be reduced if the signals are shared arbitrarily. Arbitrary signal sharing can lead to a large number of actuations for many idle electrodes, and as a result, a trapping charge or decreasing contact angle problem could occur for some electrodes, reducing the reliability of the chip. To address this problem, the appropriate electrode matching object should be carefully selected, and the influence of these factors on chip reliability should be fully considered. For this purpose, we aimed to fully consider electrode addressing and the reliability of the chip in improving the reliability of DMFBs. This paper proposed a pin addressing method based on a support vector machine (SVM) with the reliability constraint algorithm, which can fully consider the electrode addressing method and the reliability of the chip together. The proposed method achieved an average maximum number of electrode actuations that was 53.8% and 18.2% smaller than those of the baseline algorithm and the graph-based algorithm, respectively. The simulation experiment results showed that the proposed method can efficiently solve reliability problems during the DMFB design process.

7 citations