scispace - formally typeset
Search or ask a question
Author

John C. Bravman

Bio: John C. Bravman is an academic researcher from Stanford University. The author has contributed to research in topics: Electromigration & Thin film. The author has an hindex of 36, co-authored 146 publications receiving 4850 citations. Previous affiliations of John C. Bravman include Lawrence Berkeley National Laboratory.


Papers
More filters
Journal ArticleDOI
TL;DR: In this paper, a technique for fabricating TEM specimens that can be viewed in cross-section is described, which can be readily adapted to the study of other systems, including silicon-based materials.
Abstract: The structure and chemistry of thin solid films are best studied by transmission electron microscopy (TEM) when they are viewed in cross-section—that is, when the surface normal of the film is made perpendicular to the electron beam. In this orientation, the substrate, the thin film layers, and the interfaces between them can be imaged either simultaneously or individually. Further, information from each of these regions remains distinct from that obtained from the others, eliminating the problems of superimposition that are a consequence of viewing a layered structure in the conventional manner (i.e., parallel to the surface normal). A technique for fabricating TEM specimens that can be viewed in cross-section is described here. Although the majority of our work is with silicon-based materials, the technique can be readily adapted to the study of other systems.

487 citations

Journal ArticleDOI
TL;DR: In this paper, the Young's moduli and the yield strengths of thin-film materials that comprise the beams are determined using simple beam theory and the load-deflection data, the measured mechanical properties are compared to those obtained by indenting similar thin films supported by their substrate.
Abstract: The mechanical deflection of cantilever microbeams is presented as a new technique for testing the mechanical properties of thin films. Single-layer microbeams of Au and SiO2 have been fabricated using conventional silicon micromachining techniques. Typical thickness, width, and length dimensions of the beams are 1.0,20, and 30 μm, respectively. The beams are mechanically deflected by a Nanoindenter, a submicron indentation instrument that continuously monitors load and deflection. Using simple beam theory and the load-deflection data, the Young’s moduli and the yield strengths of thin-film materials that comprise the beams are determined. The measured mechanical properties are compared to those obtained by indenting similar thin films supported by their substrate.

380 citations

Journal ArticleDOI
TL;DR: In this article, the authors measured stress variations with temperature as a function of film thickness and a given grain size in pure Al and Al-0.5% Cu films on Si substrates.
Abstract: We have measured stress variations with temperature as a function of film thickness and a given grain size in pure Al and Al–0.5% Cu films on Si substrates. The variation in thickness for a given grain size is brought about by using the same film and the repeated controlled growth and dissolution of a barrier anodic oxide which can be grown uniformly on the film. Stress measurements were made as a function of temperature by measuring wafer curvature after successively removing each 0.1 μm of Al film. The components of strengthening due to the film thickness and the presence of grain boundaries were separated by assuming that the flow stress of the film is simply the sum of these two components. It is found that strengthening due to film thickness varies inversely with the thickness, which is consistent with results obtained by us using laser-reflowed films in an earlier work. The Hall–Petch coefficients calculated from the strengthening due to the grain boundaries are slightly higher than those reported for bulk Al. However, it is also recognized that the variation of the flow stress as g−1, where g is the grain size, is more plausible than that predicted by the Hall–Petch relation (i.e., as g−1/2). The variations of these two components with temperature, and under tension and compression, are discussed.

344 citations

Journal ArticleDOI
TL;DR: Scanning X-ray microdiffraction (microSXRD) combines the use of high-brilliance synchrotron sources with the latest achromaticX-ray focusing optics and fast large-area two-dimensional-detector technology to study thin aluminium and copper blanket films and lines following electromigration testing and/or thermal cycling experiments.
Abstract: Scanning X-ray microdiffraction (µSXRD) combines the use of high-brilliance synchrotron sources with the latest achromatic X-ray focusing optics and fast large-area two-dimensional-detector technology. Using white beams or a combination of white and monochromatic beams, this technique allows for the orientation and strain/stress mapping of polycrystalline thin films with submicrometer spatial resolution. The technique is described in detail as applied to the study of thin aluminium and copper blanket films and lines following electromigration testing and/or thermal cycling experiments. It is shown that there are significant orientation and strain/stress variations between grains and inside individual grains. A polycrystalline film when investigated at the granular (micrometer) level shows a highly mechanically inhomogeneous medium that allows insight into its mesoscopic properties. If the µSXRD data are averaged over a macroscopic range, results show good agreement with direct macroscopic texture and stress measurements.

270 citations

Journal ArticleDOI
TL;DR: In this paper, the behavior of copper films is evaluated to determine effects of film texture, thickness, and the presence of a passivation layer, and an inverse relationship between film thickness and strength is quantified.

259 citations


Cited by
More filters
Journal ArticleDOI
TL;DR: In this article, the indentation size effect for crystalline materials can be accurately modeled using the concept of geometrically necessary dislocations, which leads to the following characteristic form for the depth dependence of the hardness: H H 0 1+ h ∗ h where H is the hardness for a given depth of indentation, h, H 0 is a characteristic length that depends on the shape of the indenter, the shear modulus and H 0.
Abstract: We show that the indentation size effect for crystalline materials can be accurately modeled using the concept of geometrically necessary dislocations. The model leads to the following characteristic form for the depth dependence of the hardness: H H 0 1+ h ∗ h where H is the hardness for a given depth of indentation, h, H0 is the hardness in the limit of infinite depth and h ∗ is a characteristic length that depends on the shape of the indenter, the shear modulus and H0. Indentation experiments on annealed (111) copper single crystals and cold worked polycrystalline copper show that this relation is well-obeyed. We also show that this relation describes the indentation size effect observed for single crystals of silver. We use this model to derive the following law for strain gradient plasticity: ( σ σ 0 ) 2 = 1 + l χ , where σ is the effective flow stress in the presence of a gradient, σ0 is the flow stress in the absence of a gradient, χ is the effective strain gradient and l a characteristic material length scale, which is, in turn, related to the flow stress of the material in the absence of a strain gradient, l ≈ b( μ σ 0 ) 2 . For materials characterized by the power law σ 0 = σ ref e 1 n , the above law can be recast in a form with a strain-independent material length scale l. ( builtσ σ ref ) 2 = e 2 n + l χ l = b( μ σ ref ) 2 = l ( σ 0 σ ref ) 2 . This law resembles the phenomenological law developed by Fleck and Hutchinson, with their phenomenological length scale interpreted in terms of measurable material parametersbl].

3,655 citations

Journal ArticleDOI
TL;DR: In this paper, it is shown that very large stresses may be present in the thin films that comprise integrated circuits and magnetic disks and that these stresses can cause deformation and fracture to occur.
Abstract: The mechanical properties of thin films on substrates are described and studied. It is shown that very large stresses may be present in the thin films that comprise integrated circuits and magnetic disks and that these stresses can cause deformation and fracture to occur. It is argued that the approaches that have proven useful in the study of bulk structural materials can be used to understand the mechanical behavior of thin film materials. Understanding the mechanical properties of thin films on substrates requires an understanding of the stresses in thin film structures as well as a knowledge of the mechanisms by which thin films deform. The fundamentals of these processes are reviewed. For a crystalline film on a nondeformable substrate, a key problem involves the movement of dislocations in the film. An analysis of this problem provides insight into both the formation of misfit dislocations in epitaxial thin films and the high strengths of thin metal films on substrates. It is demonstrated that the kinetics of dislocation motion at high temperatures are expecially important to the understanding of the formation of misfit dislocations in heteroepitaxial structures. The experimental study of mechanical properties of thin films requires the development and use of nontraditional mechanical testing techniques. Some of the techniques that have been developed recently are described. The measurement of substrate curvature by laser scanning is shown to be an effective way of measuring the biaxial stresses in thin films and studying the biaxial deformation properties at elevated temperatures. Submicron indentation testing techniques, which make use of the Nanoindenter, are also reviewed. The mechanical properties that can be studied using this instrument are described, including hardness, elastic modulus, and time-dependent deformation properties. Finally, a new testing technique involving the deflection of microbeam samples of thin film materials made by integrated circuit manufacturing methods is described. It is shown that both elastic and plastic properties of thin film materials can be measured using this technique.

2,347 citations

Journal ArticleDOI
TL;DR: A detailed study of the structure of Perovskites and their properties in the context of a reducing Atmosphere andHydrogenation and Hydrogenolysis Reactions 2006 shows that the structure and properties of these minerals have changed little in the intervening years.
Abstract: II. Structure of Perovskites 1982 A. Crystal Structure 1982 B. Nonstoichiometry in Perovskites 1983 1. Oxygen Nonstoichiometry 1983 2. Cation Nonstoichiometry 1984 C. Physical Properties 1985 D. Adsorption Properties 1986 1. CO and NO Adsorption 1986 2. Oxygen Adsorption 1987 E. Specific Surface and Porosity 1987 F. Thermal Stability in a Reducing Atmosphere 1989 III. Acid−Base and Redox Properties 1990 A. Acidity and Basicity 1990 B. Redox Processes 1991 1. Kinetics and Mechanisms 1992 2. Reduction−Oxidation Cycles 1993 C. Ion Mobility 1993 1. Oxygen Transport 1993 2. Cation Transport 1994 IV. Heterogeneous Catalysis 1995 A. Oxidation Reactions 1995 1. CO Oxidation 1995 2. Oxidation of Hydrocarbons 1996 B. Pollution Abatement 2001 1. NOx Decomposition 2001 2. Exhaust Treatment 2002 3. Stability 2004 C. Hydrogenation and Hydrogenolysis Reactions 2004 1. Hydrogenation of Carbon Oxides 2004 2. Hydrogenation and Hydrogenolysis Reactions 2006

2,253 citations

Journal ArticleDOI
17 Apr 2009-Science
TL;DR: An approach to optimize strength and ductility is outlined by identifying three essential structural characteristics for boundaries: coherency with surrounding matrix, thermal and mechanical stability, and smallest feature size finer than 100 nanometers.
Abstract: [Lu, K.; Lu, L.] Chinese Acad Sci, Inst Met Res, Shenyang Natl Lab Mat Sci, Shenyang 110016, Peoples R China. [Lu, L.; Suresh, S.] MIT, Sch Engn, Cambridge, MA 02139 USA.;Lu, K (reprint author), Chinese Acad Sci, Inst Met Res, Shenyang Natl Lab Mat Sci, Shenyang 110016, Peoples R China;lu@imr.ac.cn ssuresh@mit.edu

1,812 citations

Book
02 Feb 2004
TL;DR: The role of stress in mass transport is discussed in this article, where the authors consider anisotropic and patterned films, buckling, bulging, peeling and fracture.
Abstract: 1. Introduction and overview 2. Film stress and substrate curvature 3. Stress in anisotropic and patterned films 4. Delamination and fracture 5. Film buckling, bulging and peeling 6. Dislocation formation in epitaxial systems 7. Dislocation interactions and strain relaxation 8. Equilibrium and stability of surfaces 9. The role of stress in mass transport.

1,562 citations