scispace - formally typeset
Search or ask a question

Showing papers by "Matteo Sonza Reorda published in 2001"


Proceedings ArticleDOI
10 Nov 2001
TL;DR: A source-to-source compiler supporting a software-implemented hardware fault tolerance approach is proposed, based on a set of source code transformation rules, which hardens a program against transient memory errors by introducing software redundancy.
Abstract: Over the last years, an increasing number of safety-critical tasks have been demanded for computer systems. In particular, safety-critical computer-based applications are hitting market areas where cost is a major issue, and thus solutions are required which conjugate fault tolerance with low costs. A source-to-source compiler supporting a software-implemented hardware fault tolerance approach is proposed, based on a set of source code transformation rules. The proposed approach hardens a program against transient memory errors by introducing software redundancy: every computation is performed twice and results are compared, and control flow invariants are checked explicitly. By exploiting the tool's capabilities, several benchmark applications have been hardened against transient errors. Fault injection campaigns have been performed to evaluate the fault detection capability of the hardened applications. In addition, we analyzed the proposed approach in terms of space and time overheads.

97 citations


Journal ArticleDOI
TL;DR: In this paper, a field-programmable gate-array based circuit emulation for performing fault-injection campaigns is proposed, which is about four orders of magnitude faster than simulation-based fault injection.
Abstract: Hardware designers need effective techniques for early evaluation of the hardening mechanisms adopted in safety-critical VLSI circuits. We propose field-programmable gate-array based circuit emulation for performing fault-injection campaigns. Experimental results show that the new technique is about four orders of magnitude faster than simulation-based fault injection.

88 citations


Proceedings ArticleDOI
09 Jul 2001
TL;DR: New techniques for exploiting FPGAs to speed-up fault injection in VLSI circuits and allows performing fault injection campaigns that are comparable to those performed with hardware-based techniques in terms of speed, but shows a much higher flexibility in Terms of supported fault models.
Abstract: The widespread adoption of VLSI devices for safety-critical applications asks for effective tools for the evaluation and validation of their reliability. Fault injection is commonly adopted for this task, and the effectiveness of the adopted techniques is therefore a key factor for the reliability of the final products. In this paper we present new techniques for exploiting FPGAs to speed-up fault injection in VLSI circuits. Thanks to the suitable circuitry added to the original circuit, transient faults affecting memory elements in the circuit can be considered. The proposed approach allows performing fault injection campaigns that are comparable to those performed with hardware-based techniques in terms of speed, but shows a much higher flexibility in terms of supported fault models.

53 citations


Proceedings ArticleDOI
19 Nov 2001
TL;DR: The approach exploits FPGA devices for system emulation, and new techniques are described, allowing emulating the effects of faults and to observe faulty behavior, showing that speed-up figures up to 3 orders of magnitude with respect to state-of-the-art simulation-based techniques can be achieved.
Abstract: In this paper we propose an approach to speed-up fault injection campaigns for the evaluation of dependability properties of processor-based systems. The approach exploits FPGA devices for system emulation, and new techniques are described, allowing emulating the effects of faults and to observe faulty behavior. The proposed approach combines the speed of hardware-based techniques, and the flexibility of simulation-based techniques. Experimental results are provided showing that speed-up figures up to 3 orders of magnitude with respect to state-of-the-art simulation-based techniques can be achieved.

26 citations


Proceedings ArticleDOI
03 Jan 2001
TL;DR: A distributed bus guardian scheme, where dedicated hardware modules monitor the integrity of the information transmitted over the bus and provide error correction mechanisms is proposed, to enhance the dependability level of the interconnection architecture.
Abstract: This paper presents a methodology for designing system-on-chip interconnection architectures providing a high level of protection from crosstalk and single-event upsets. An event driven simulator enriched with fault injection capabilities is exploited to evaluate the dependability level of the system being designed. The simulation environment supports several bus coding protocols and thus designers can easily evaluate different design alternatives. To enhance the dependability level of the interconnection architecture, we propose a distributed bus guardian scheme, where dedicated hardware modules monitor the integrity of the information transmitted over the bus and provide error correction mechanisms. Preliminary experimental results on a small benchmark system are reported showing the effectiveness of the proposed methodology.

22 citations


Proceedings ArticleDOI
09 Jul 2001
TL;DR: Fault injection experiments put in evidence the detection capabilities and the limitations of each of the studied techniques for bit flip errors arising in microprocessor-based digital architectures as the consequence of the interaction with radiation.
Abstract: Deals with different software based strategies allowing the on-line detection of bit flip errors arising in microprocessor-based digital architectures as the consequence of the interaction with radiation. Fault injection experiments put in evidence the detection capabilities and the limitations of each of the studied techniques.

16 citations


Book ChapterDOI
27 Aug 2001
TL;DR: This paper proposes to exploit FPGAs to speed-up Fault Injection for fault tolerance evaluation of VLSI circuits, relying on FPGA-based emulation of the circuit for fault effect analysis.
Abstract: Designers of safety-critical VLSI systems are asking for effective tools for evaluating and validating their designs Fault Injection is commonly adopted for this task, and its effectiveness is therefore a key factor In this paper we propose to exploit FPGAs to speed-up Fault Injection for fault tolerance evaluation of VLSI circuits A complete Fault Injection environment is described, relying on FPGA-based emulation of the circuit for fault effect analysis The proposed approach allows combining the efficiency of hardware-based techniques, and the flexibility of simulation-based techniques Experimental results are provided to support the feasibility and effectiveness of the approach

13 citations




Book ChapterDOI
18 Apr 2001
TL;DR: ARPIA adopts an innovative high-level fault model that enables efficient fault simulation and guarantees good correlation with gate-level results, and exploits an evolutionary algorithm to drive the search of effective patterns within the gigantic space of all possible signal sequences.
Abstract: The integrated circuits design flow is rapidly moving towards higher description levels. However, test-related activities are lacking behind this trend, mainly since effective fault models and test signals generators are still missing. This paper proposes ARPIA, a new simulation-based evolutionary test generator. ARPIA adopts an innovative high-level fault model that enables efficient fault simulation and guarantees good correlation with gate-level results. The approach exploits an evolutionary algorithm to drive the search of effective patterns within the gigantic space of all possible signal sequences. ARPIA operates on register-transfer level VHDL descriptions and generates effective test patterns. Experimental results show that the achieved results are comparable or better than those obtained by high-level similar approaches or even by gate-level ones.

4 citations


01 Jan 2001
TL;DR: A method for the generation of effective programs for the self-test of a processor starting from its RT-level description, which can be partially automated, and combines ideas from traditional functional approaches and from the ATPG field.
Abstract: The issue of SOC testing is one of the most crucial in their design and production process. A popular solution for SOCs including microprocessor cores is based on letting them execute a test program, thus implementing a very attracting BIST solution. This paper describes a method for the generation of effective programs for the self-test of a processor starting from its RT-level description. The method can be partially automated, and combines ideas from traditional functional approaches and from the ATPG field. We are preliminary assessing the feasibility and effectiveness of the method by applying it to an 8051 core.