scispace - formally typeset
Search or ask a question

Showing papers by "Rao Tummala published in 2015"


Journal ArticleDOI
TL;DR: In this article, the thermal performance of glass interposer substrate with copper through-package vias (TPVs) was investigated both experimentally and numerically by measuring an effective thermal conductivity which combines the effect of copper and glass.
Abstract: In this paper, the thermal performance of glass interposer substrate with copper through-package vias (TPVs) is investigated both experimentally and numerically. Copper via arrays with different via pitches and diameters were fabricated in 114.3 mm $\times \,\, 114.3$ mm $\times \,\, 100\mu \text{m}$ glass panels using low-cost laser drilling, electroless plating, and electroplating for copper deposition. The thermal performance of such a structure was quantified by measuring an effective thermal conductivity which combines the effect of copper and glass. The effective thermal conductivity of fabricated samples was determined with infrared microscopy and compared with finite-element analysis on unit TPV cell. Using the effective thermal conductivity, further numerical analyses were performed on a 2.5-D interposer, which has two chips mounted side by side with a total heat generation of 3 W. Interconnects and TPV layers in the interposer were modeled as homogeneous layers with an effective thermal conductivity. Using the developed model, the effect of copper TPVs on the thermal performance of silicon and glass interposers was compared. To further characterize the thermal performance of the 2.5-D glass interposer structure, the effects of pitch of interconnects and TPVs and the TPV diameter are presented.

33 citations


Journal ArticleDOI
TL;DR: In this paper, the cavity perturbation technique (CPT) with substrate-integrated waveguide (SIW) cavity resonators was used to measure the dielectric and magnetic properties of magnetodielectric (MD) materials.
Abstract: Magnetodielectric (MD) materials find application in many areas of microwave engineering, and therefore, measurement of their dielectric and magnetic properties is very important. This paper presents a novel MD material characterization method using the cavity perturbation technique (CPT) with substrate-integrated waveguide (SIW) cavity resonators. Frequency dependent complex permittivity and permeability of MD material can be extracted with a single SIW cavity structure by inserting the sample material into different locations. The fundamental theory of CPT is explained and its analysis for SIW cavity is discussed. Cobalt nanoparticles are synthesized with a fluoropolymer matrix to realize the MD materials and their properties are measured in the frequency range 1–4 GHz. The effect of volume fraction and density of the synthesized MD materials on the dielectric and magnetic properties has been studied.

27 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the power distribution network (PDN) and channel properties are heavily affected by the material properties of the interposer substrate, depending on the substrate material, anti-resonance frequency of PDN can coincide with ICs' switching frequencies.
Abstract: 2.5D integration using interposer and through via technologies is one of the promising solutions to enable systems with higher electrical performance and at the same time reducing size of the whole systems. To maximize benefits of the interposer, power distribution network (PDN) and channels should be well-designed. PDN and channel properties are heavily affected by the material properties of the interposer substrate. Depending on the substrate material, anti-resonance frequency of PDN can coincide with ICs' switching frequencies. Therefore analysis and comparison of hierarchical PDN are important. Also channels can be affected by the PDN design, especially channels escaping/entering interposers are affected by the properties of the interposer PDN. Therefore, when designing interposers, co-design and co-analysis of PDNs and channels are required.

23 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the first demonstration of a zero-undercut method for the formation of ultrafine-line copper conductor patterns for redistribution layers (RDL) and thin film RF passives is presented.
Abstract: This paper presents the first demonstration of a “zero-undercut” precision formation of ultrafine-line copper conductor patterns for redistribution layers (RDL) and thin film RF passives. This is accomplished by using a highly-anisotropic and uniform copper plasma-etching process to remove the seed layer with no lateral etching of the copper patterns, unlike what is seen with traditional seed-layer removal by wet-etching. Application of this technical breakthrough for large-area panel processes allows demonstration of precision copper patterns demonstrated, for the first time, on organic laminates with no measurable lateral undercut. Two different plasma chemistries, one pure physical sputter-etching, and the other based upon chemical-physical processes with a hydrogen plasma, were investigated and compared.

22 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, the first demonstration of 1.5µm ultra-fine copper trace re-distribution layers (RDL) with embedded trace processes for 2.5D glass interposers is presented.
Abstract: This paper presents the first demonstration of 1.5µm ultra-fine copper trace re-distribution layers (RDL) with embedded trace processes for 2.5D glass interposers. Two approaches described in this paper include: (1) Copper Trace Transfer (CTT) and (2) Photo Trench Embedding (PTE), both of which can be fabricated using existing double-side package substrate process tools on large panels. 2.5D Interposers, interconnecting logic and memory devices at high-bandwidth, require ultra-fine I/O pitch below 40µm. In order to escape route bumps at less than 40µm pitch, the interposer requires RDLs with less than 5µm ultra-fine copper wiring. Organic package substrates, using semi-additive processes (SAP), face a number of challenges in achieving less than 5µm line and space. The two embedded trace process methods in this paper are targeted at extending RDL feature sizes beyond these SAP limits. In the CTT approach, each RDL metal layer is pre-fabricated on a removable carrier and then transferred to a polymer dielectric layer laminated on an interposer core substrate. 1.5 to 5µm Copper traces were plated and successfully transferred onto a polymer laminated glass core. In the PTE approach, a high-resolution photosensitive dry film (TMMF-2014, 14µm thick) and liquid photosensitive dielectric (PN-0371D) films were explored for the RDLs. The initial feasibility of fine line photolithography and trench copper filling processes was investigated. Results showed that the film has a 2µm resolution, with an aspect ratio of seven. The feasibility of resolving 1.2µm line and space patterns was demonstrated in 4.2µm thick liquid photosensitive dielectric material. RDL traces down to 3µm using dry film and 1.5µm using liquid-based film were plated with sputtered Ti-Cu seed layers and trench fill electroplating processes. Both embedded trace approaches demonstrate the potential to scale 1.5–3µm RDL wiring using double-side, large-panel processing for low-cost, high-density interposers.

20 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, a glass interposers have gained increased attention and interest in microelectronics industry since 2010, because glass has a tailorable coefficient of thermal expansion (CTE), high mechanical rigidity, availability in large and thin panel form, low processing cost, smooth surface for fine line and space fabrication and superior electrical properties.
Abstract: Glass interposers have gained increased attention and interest in microelectronics industry since 2010. This is because glass has a tailorable coefficient of thermal expansion (CTE), high mechanical rigidity, availability in large and thin panel form, low processing cost, smooth surface for fine line and space fabrication, and superior electrical properties. While thin glass panels offer such a plethora of benefits, there are several processing and reliability challenges that glass imposes. As a brittle material, glass has low fracture toughness and is prone to cracking.

18 citations


Journal ArticleDOI
TL;DR: In this paper, NiFe and Fe nanoparticles were dispersed in epoxy as nanocomposites, in different volume fractions, and the permittivity, permeability, and loss tangents of the composites were measured with an impedance analyzer and correlated with the magnetic properties of the particle such as saturation magnetization and field anisotropy.
Abstract: Metal–polymer composites were investigated for their microwave properties in the frequency range of 30–1000 MHz to assess their application as inductor cores and electromagnetic isolation shield structures. NiFe and Fe nanoparticles were dispersed in epoxy as nanocomposites, in different volume fractions. The permittivity, permeability, and loss tangents of the composites were measured with an impedance analyzer and correlated with the magnetic properties of the particle such as saturation magnetization and field anisotropy. Fe–epoxy showed lower magnetic permeability but improved frequency stability, compared to the NiFe–epoxy composites of the same volume loading. This is attributed to the differences in nanoparticle’s structure such as effective metal core size and particle-porosity distribution in the polymer matrix. The dielectric properties of the nanocomposites were also characterized from 30 MHz to 1000 MHz. The instabilities in the dielectric constant and loss tangent were related to the interfacial polarization relaxation of the particles and the dielectric relaxation of the surface oxides.

17 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, a two-metal layer redistribution layer (RDL) structure integrating 2µm line and space wiring and less than 10 µm ultra-small microvias was demonstrated on ultra-thin glass and organic substrates.
Abstract: High-density packages and 2.5D interposers require 2µm trace widths and gaps, and less than 10µm ultra-small microvias to achieve 20–40µm I/O pitch interconnections. Silicon interposers with through-silicon-vias (TSVs) have been used for such ultra-high density interconnections between logic and memory chips with sub-micron multi-layer copper wiring. However, the high cost of silicon interposers coming from back end of line (BEOL) processes have limited their applicability to mobile systems like smart phones and wearables. Glass and organic interposers have been investigated as a lower cost solution coming from large panel processes and dry film lithography for semi-additive copper metallization. However, achieving high wiring density with low-cost package substrate processes remains a challenge. This paper presents the first demonstration of high resolution photo-lithography processes to achieve 2µm copper line widths and 5–10µm microvias with panel-based processes using newly developed large field projection lithography tools and advanced dry film photoresists. A two-metal layer redistribution layer (RDL) structure integrating 2µm line and space wiring and less than 10µm ultra-small microvias was demonstrated on ultra-thin glass and organic substrates.

17 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, a substrate-integrated waveguide (SIW) with through-package-vias (TPVs) operating at 20 GHz was designed in bare glass substrates to support the dominant TE10 mode and to avoid exciting TE 20 mode.
Abstract: This paper presents, for the first time, substrate-integrated waveguides (SIWs) in ultra-thin glass with through-package-vias (TPVs). An SIW operating at 20 GHz was designed in bare glass substrates to support the dominant TE10 mode and to avoid exciting TE 20 mode. The simulated propagation constant confirmed the proper design for one-mode excitation, while the distributions of electromagnetic fields and surface current were examined and they exhibited similar patterns to those in dielectric-filled rectangular waveguides. Furthermore, the simulated S-parameter shows that the insertion loss at 20 GHz is 0.67 dB/cm, and 100% relative bandwidth was achieved. Finally, the impacts of TPV taper and the variability of the glass thickness and TPV pitch on the electrical performance of the SIW in glass interposers with TPVs were studied and presented.

16 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, a thermocompression bonding was applied to form copper interconnections with process tolerances to accommodate non-coplanarities of bumps and warpage of the substrate, without solders.
Abstract: High-throughput assembly technologies to form Copper (Cu) interconnections without solders at below 200°C, and pitch below 40µm has been a major challenge in the semiconductor industry. A unique solution has been demonstrated by Georgia Institute of Technology to overcome this grand challenge. This technology utilizes thermocompression bonding to form copper interconnections with process tolerances to accommodate non-coplanarities of bumps and warpage of the substrate, without solders. The bonding pressure applied for thermocompression was 365MPa, to enable Cu bump collapse by 3µm. As thermocompression bonders are generally force-limited to 400N, such high bonding pressures may hinder scalability of this technology to fine pitches with higher I/O densities. This paper addresses this manufacturability challenge with the novel Electroless Palladium Autocatalytic Gold (EPAG) surface finish instead of the standard Electroless Nickel Immersion Gold (ENIG) or Electroless Nickel Electroless Palladium Immersion Gold (ENEPIG) finish, previously used to prevent Cu oxidation for bonding load reduction down to 120MPa.

14 citations


Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the authors describe the modeling, design, and demonstration of high-speed differential transmission lines on a 130µm thin glass interposer with two re-distribution layers (RDL), line lengths of 1-50mm, and turn radii of 0.15-8mm for 16-channel signal transmission at 28 Gbps per channel.
Abstract: This paper describes the modeling, design, and demonstration of high-speed differential transmission lines on a 130µm thin glass interposer with two re-distribution layers (RDL), line lengths of 1–50mm, and turn radii of 0.15–8mm for 16-channel signal transmission at 28 Gbps per channel. Next generation photonic systems such as 400 Gigabit Ethernet (400 GbE) require low power and low loss channels between photodetectors and trans-impedance amplifiers (TIA) or between laser arrays and driver ICs. Glass, with low dielectric constant and loss tangent, has higher electrical performance and channel power efficiency compared to silicon interposers. Furthermore, low surface roughness and high-dimensional stability of glass enable finer lithographic dimensions and higher interconnection density during large panel processing compared to organic interposers. Interconnection of optical and electrical ICs on 2.5D glass interposers provides the best combination of electrical and optical signal performance. For 400 GbE modules, a 16-channel bus at 28 Gbps per channel is required for communication to the backplane. Electrical modeling and simulation was performed to arrive at an appropriate design for the 16×28 Gbps I/O interface on a two-metal layer glass interposer. An ultra-thin 130µm glass interposer was fabricated using low-cost, double-side panel processing providing for a lower cost, higher performance solution compared to silicon interposers.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, a drop-test reliability performance of large, ultra-thin glass BGA packages that are directly mounted onto the system board, unlike the current approach of flip-chip assembly of interposers, involving additional organic packages which are then SMT assembled onto boards.
Abstract: This paper reports the first demonstration of the drop-test reliability performance of large, ultra-thin glass BGA packages that are directly mounted onto the system board, unlike the current approach of flip-chip assembly of interposers, involving additional organic packages which are then SMT assembled onto boards. The packages, 18.4mm × 18.4mm in size made of 100µm-thick glass, were also successfully assembled, for the first time, in a SMT line. The effect on drop reliability of the glass BGAs with circumferential polymer collars was studied extensively. While the glass BGA packages met the reliability requirements, both with and without polymer collars, the polymer collars were found to further enhance the drop performance, as well as the fatigue life of solders. Finite element modeling was used to understand strain-relief mechanisms and provide design guidelines for reliability. The glass substrates fabrication process along with the formation of polymer collars by spin coating is detailed. The glass package-to-PCB assemblies were formed using SMT-compatible processes with standard equipment, followed by reliability testing through thermal cycling and drop tests. The compiled failure data from drop testing was fitted into a Weibull distribution plot. Comprehensive failure analysis was performed to assess the structural integrity of the glass substrates and identify the predominant failure mechanisms in drop test.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, the authors proposed a circuit model of a single-ended signal TGV, which is a function of design parameters such as height, diameter of through glass via and pitch between GS pair.
Abstract: Through glass via (TGV) is most important technology in the glass interposer. Electrical characteristic of TGV determines the overall signal integrity of signal paths in 2.5D/3D system. It is essential to electrically model TGVs for analysis of overall 2.5D/3D IC system including digital or analog chip. In this paper, we proposed the precise RLGC circuit model of single-ended signal TGV. Each equation of RLGC is a function of design parameters such as height, diameter of through glass via and pitch between GS pair, and material properties such as permittivity of glass and polymer. Proposed model is verified up to 40GHz with full-3D simulation. Using the proposed model with parasitic components of through glass via, we analyzed the electrical characteristic of TGV in frequency and time domain.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, an integrated trench-based shielding for electromagnetic interference (EMI) isolation between components in an ultra-miniaturized radio frequency (RF) package is explored and developed using metallized trenches formed in the build-up layers of ultra-thin glass substrates.
Abstract: This paper demonstrates, for the first time, an integrated trench-based shielding for electromagnetic interference (EMI) isolation between components in an ultra-miniaturized radio frequency (RF) package. A novel component-level shielding structure is explored and developed using metallized trenches formed in the build-up layers of ultra-thin glass substrates. Through full-wave electromagnetic (EM) simulation, the coupling between different passive structures are compared. Additionally, the shielding effectiveness of trench-based structures are compared with traditional via-based shields. Further, the shield effectiveness of different magnetic and non-magnetic shield materials are compared through analytical modeling. Based on these modeling results, a representative shield structure is designed, fabricated and characterized to correlate its performance with simulations. It is observed through measurements, that package-integrated trench-based shields provide up to 25dB more lateral isolation than via-arrays.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, the characterization of electrical properties of glass/ZIF stack-up and transmission lines up to 50 GHz is presented, for the first time, and the results show promising RF performance of glass and T.L on glass up to 10 GHz.
Abstract: This paper presents, for the first time, the characterization of electrical properties of Glass/ZIF stack-up and transmission lines on glass/ZIF up to 50 GHz. Ring resonators, co-planar wave guide (CPW), CPWs with Thru-Package-Vias (TPVs) and microstrip to CPW transitions are designed, fabricated and measured on a 300/33 µm glass/ZIF substrate. The Short-Open-Load-Through (SOLT) calibration technique was used to measure the fabricated structures. Measurements show promising RF performance of glass and T.L on glass up to 50 GHz. An insertion loss of 0.05 dB/mm at 20 GHz and 0.12 dB/mm at 50 GHz for a CPW line has been measured. The microstrip to CPW transition exhibited 0.24 dB/mm of loss and a thru-package-via exhibited a loss of 0.34 dB at 50 GHz. A dielectric constant of 4.95 and loss tangent of 0.012 at 50 GHz is also reported.

Journal ArticleDOI
TL;DR: In this paper, a 10-μm diameter interlayer vias with 3.5μm wide re-distribution layer copper wiring in a unique dry-film polymer dielectric, ZEONIF ZS100 (ZS100), was demonstrated.
Abstract: This paper describes the demonstration of 10-μm diameter interlayer vias with 3.5-μm wide re-distribution layer copper wiring in a unique dry-film polymer dielectric, ZEONIF ZS100 (ZS100), suitable for panel-based high-density organic and glass interposers. The uniqueness of polymer dielectric includes low dielectric constant, low dielectric loss, low moisture uptake, and low surface roughness. The dry-film polymer dielectric was laminated on thin and low coefficient of thermal expansion organic or glass cores using double-side vacuum lamination processes. The ultrasmall microvias were drilled with 248-nm KrF excimer laser. Metallization by electroless and electrolytic copper plating successfully achieved formation of fully filled vias and copper traces simultaneously without any chemical-mechanical polishing. The processes demonstrated in this paper enable interposers with much finer bump pitch than current organic package technology. In addition, the processes can be scaled to large panels leading to lower cost than the previous work in fine pitch Si interposers fabricated through back-endof-line wafer processes.

Proceedings ArticleDOI
01 Sep 2015
TL;DR: In this paper, a quasi Yagi-Uda antenna is implemented on a glass substrate with a small form factor (λ 0/2 × λ0/2) and the center frequency of the antenna is 53 GHz.
Abstract: This work demonstrates, for the first time, implementation of a V-band end-fire Yagi-Uda antenna on an ultra-thin (100um) glass substrate (er =5.3). The quasi Yagi-Uda antenna is chosen because of its small form factor (λ0/2 × λ0/2). The center frequency of the antenna is 53 GHz. The fabricated antenna-on-glass shows good performance with 3.1 dBi of measured gain and 3 GHz of 10-dB impedance bandwidth. H-plane Co-pol and Cross-pol radiation patterns were measured at 53 GHz. All measurements are in close agreement with simulated results.

Book ChapterDOI
01 Jan 2015
TL;DR: In this article, the role of nanostructured materials for high-density capacitors and inductors in power modules is discussed, and application of nanoscale materials as nanocomposite dielectrics and magneto-dielectrics with stable and high permeability and permittivity for miniaturized RF modules.
Abstract: Miniaturization of passive components, while mounting them close to the active devices to form ultrathin high-performance power and RF modules, is a key enabler for next-generation multifunctional miniaturized systems. Traditional microscale materials do not lead to adequate enhancement in volumetric densities to miniaturize passive components as thin films or thin integrated passive devices. With these materials, component miniaturization also degrades performance metrics such as quality factor, leakage current, tolerance, and stability. Nanomaterials such as nanocomposite dielectrics and magneto-dielectrics, nanostructured electrodes, and the resulting thin-film components have the potential to address this challenge. This chapter describes the key opportunities in nanomaterials and nanostructures for power and RF passive components. The first part of this chapter describes the role of nanostructured materials for high-density capacitors and inductors in power modules. The second part of the chapter describes application of nanoscale materials as nanocomposite dielectrics and magneto-dielectrics with stable and high permeability and permittivity for miniaturized RF modules.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the authors present a comprehensive study on the fundamental factors that impact the scalability of organic interposers to 40µm area array bump pitch, leading to the design and fabrication of ultra-thin and low coefficient of thermal expansion (CTE) organic interposition.
Abstract: This paper presents a comprehensive study on the fundamental factors that impact the scalability of organic interposers to 40µm area array bump pitch, leading to the design and fabrication of ultra-thin and low CTE organic interposers at 40µm pitch. Silicon interposers were the first substrates used for 2.5D integration of logic and memory ICs at close proximity. However, the high cost and electrical loss of wafer back end of line (BEOL) silicon interposers has fueled the need for fine-pitch organic interposers. Organic substrates face two primary challenges in achieving finer I/O pitch: layer-to-layer mis-registration during copper-polymer re-distribution layer (RDL) fabrication due to the thermo-mechanical stability issue of organic laminate cores, and warpage during chip assembly on thin core substrates. This paper studies these two fundamental factors by finite element modeling (FEM) and experimental characterization, resulting in RDL design guidelines for low mis-registration and warpage. Reducing the copper thickness in each layer as well as the thickness of the polymer dielectric to below 10µm, resulted in significant reduction in CTE mismatch-induced stresses at different interfaces. The modeling-based design was verified by fabrication of a multi-layer RDL stack on 100µm thin low coefficient of thermal expansion (CTE) organic cores with ultra-thin build-up layers to achieve a bump pitch of 40µm. The assembly of chips on the thin organic interposer was optimized to minimize the warpage, leading to the demonstration of two-chip 2.5D organic interposers.

Proceedings ArticleDOI
01 Sep 2015
TL;DR: It is shown that, starting from particle radius and volume fraction of the nano-magnetic material, it is possible to calculate the antenna parameters like gain, bandwidth, radiation efficiency, resonant frequency, and viceversa with good precision by using machine learning techniques.
Abstract: A machine learning approach to design with magneto dielectric nano-composite (MDNC) substrate for planar inverted-F antenna (PIFA) is presented. A new mixing rule model has been developed. A database of material properties has been created using several particle radius and volume fraction. A second database built with antenna simulations has been developed to complete the machine learning dataset. It is shown that, starting from particle radius and volume fraction of the nano-magnetic material, it is possible to calculate the antenna parameters like gain, bandwidth, radiation efficiency, resonant frequency, and viceversa with good precision by using machine learning techniques.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the authors evaluated various dicing methods in order to improve the TCT reliability against SeWaRe type of failures in glass interposers fabricated by polymer lamination over thin glass sheet cores.
Abstract: The authors evaluated various dicing methods in order to improve the TCT reliability against SeWaRe type of failures in glass interposers fabricated by polymer lamination over thin glass sheet cores For blade-based dicing methods, the criteria for down-selection were (i) the least glass sidewall roughness and (ii) crack-free die edge visual inspections In this fashion, a BKM dicing blade was identified that produced SeWaRe-free interposer dies upon dicing operations Secondly, in order to compare the fracture strengths of glass produced by blade dicing with those of known methods, bare, thin glass sheet test specimens were made In 2-point bending fracture strengths tests, glass strengths from three different blade dicing methods are similar to other score-and-break based separation methods Lastly, a dicing process using a R&D laser system from DISCO Corp, which is not yet released-to-market, also successfully singulated the glass interposer samples Samples produced by both blade and laser processes have been passing the TCT accelerated reliability tests

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the thermal dissipation of power amplifier (PA) chips is addressed in the development of ultra-miniaturized glass-based RF modules, which is one of the biggest challenges in the application of RF modules.
Abstract: This paper addresses the thermal dissipation of power amplifier (PA) chips, which is one of the biggest challenges in the development of ultra-miniaturized glass-based RF modules. Glass packages with 3D or double-side active and passive integration offer the best miniaturization and performance enhancement for RF modules because glass has ultra-low loss, dimensional stability for precision thinfilm components, ability to process through-vias in large panels to reduce cost [1]. However, glass is a poor thermal conductor. Cooling of the high-power PA die with integrated miniaturized RF modules is, therefore, a key challenge. This paper provides extensive modeling studies of RF power amplifier modules with copper thermal vias in ultra-miniaturized glass, without additional process steps. It considers various power amplifier design options such as: a) Si vs. Silicon-on-Insulator (SOI), b) location of die hotspot, c) via geometry, and d) conformal vs. fully-filled vias, and provides optimal design recommendations with modeling and analysis.

Proceedings ArticleDOI
01 Dec 2015
TL;DR: In this article, the authors analyzed the overall signal integrity of glass and silicon interposer channel including through package via, and simulated these channels in frequency-domain and time-domain.
Abstract: The electrical characteristics of silicon and glass interposer channel are heavily affected by the design of through silicon via (TSV) and through glass via (TGV). In this paper, we analyzed the overall signal integrity of glass and silicon interposer channel including through package via. To compare electrical property between silicon and glass, we simulated these channels in frequency-domain and time-domain. We observed s-parameter of single and multiple via transition channel. Moreover we compared the characteristic impedance and eye diagram simulation results. Finally, we observed the change of electrical characteristics when the impedance mismatch is occurred at via pad.

Patent
21 Oct 2015
TL;DR: In this paper, the edge-coated microelectronic packages comprising a micro-electronic package having a top, a bottom, and an exposed edge, and a coating comprising a polymer, wherein the micro electronics package comprises a glass substrate, and the coating covers at least a portion of the top, at least part of the bottom and at least some exposed edge of the micro electronic package.
Abstract: Disclosed herein are edge-coated microelectronic packages comprising a microelectronic package having a top, a bottom, and an exposed edge, and a coating comprising a polymer, wherein the microelectronic package comprises a glass substrate, and wherein the coating covers at least a portion of the top, at least a portion of the bottom, and at least a portion of the exposed edge of the microelectronic package. Also disclosed herein are methods of making and using edge-coated microelectronic packages.

Journal ArticleDOI
TL;DR: In this article, the chemical structure and electrical properties of anodized titania are investigated for their application as conformal ultra-thin dielectrics on high surface area titanium electrodes.
Abstract: The chemical structure and electrical properties of anodized titania are investigated for their application as conformal ultra-thin dielectrics on high surface area titanium electrodes. The chemical structure is studied by XPS depth profiling for the first time along with the role of anodization conditions on dielectric thickness, leakage current, and capacitance densities. Different leakage current models were used to identify the defect mechanisms in the titania film formed at different voltages. EDS and structural SEM studies were performed to investigate the morphology and structure of the titania films. This research also demonstrates the fabrication and characterization of high-density capacitors using high surface area titanium metal electrodes, conformal high K, and thin-film dielectric of titania. High-permittivity and thin-film titania dielectric was grown on high surface area titanium anodes using anodization, while conducting polymer was used as the cathode. The fabricated capacitor showed 7.15 µF cm−2 at 100 kHz which corresponded to 7.5X enhancement in surface area compared to planar thin-film capacitors. This is the first demonstration of high-density capacitors using high surface area titanium anodes along with high-permittivity and thin-film titania as the dielectric.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, an innovative SLID concept, consisting of isolating a metastable intermetallic phase between barrier layers for a faster conversion to metastable composition than that in traditional SLID, was introduced.
Abstract: Advances in high-performance package with high I/O densities, and power modules with escalating current needs are driving the need for a new class of interconnection technologies, with thermal stability, current-carrying capability and pitch scalability beyond that of traditional solders. Solid-liquid interdiffusion (SLID or SoLID) or transient liquid phase (TLP) bonding systems, in which the bonding layer is fully converted to intermetallics, are highly sought after to extend the applicability of solders to pitches below 30µm, and for die-attachment in high-temperature high-power systems. This paper introduces an innovative SLID concept, consisting of isolating a metastable intermetallic phase between barrier layers for a faster conversion to metastable composition than that in traditional SLID. The Cu-Sn system was used for this demonstration with a designed transition to metastable Cu 6 Sn 5 instead of the stable Cu 3 Sn phase, usually targeted. The novel interconnection structure enables assembly within seconds and improved thermomechanical reliability, with all the benefits of SLID bonding such as outstanding thermal stability over 10x reflow and enhanced power handling capability with a current density of 105 A/cm2. The paper first describes the design and fabrication of the interconnection structure, including the barrier and bonding layers based on diffusion and thermomechanical modeling. Ultra-fast assembly by low-pressure thermocompression bonding was demonstrated on die-attach joints and interconnections at 100µm pitch, followed by extensive reliability characterization, including thermal stability evaluation, electromigration test, and die-shear test. The designed interconnections successfully passed JEDEC standards, qualifying this novel interconnection technology for high-temperature, high-power operations at fine-pitch.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, a new class of nanomagnetic structures for coupling and shielding in wireless charging and power conversion applications is presented. But the authors focus on the coupling inductors and ultra-thin EMI shields.
Abstract: This paper presents materials modeling, design, processing, integration and characterization of a new class of nanomagnetic structures for coupling and shielding in wireless charging and power conversion applications. Wireless power transfer applications such as wireless charging, operating at 6.78 MHz, require high-performance magnetic materials for enhancing the coupling between transceiver and receiver coils as well as for suppressing electromagnetic interference (EMI) shielding. This research describes two novel magnetic structures for coupling inductors and ultra-thin EMI shields. A novel vertically aligned magnetic composite structure was demonstrated for the coupling inductor. This structure is shown to result in permeabilities of above 500 and loss tangent of 0.01, which enhances the coupling inductance by 3–5x at 6.78 MHz, and also enhances the power-transfer efficiency by 2x. The second part of this paper presents the modeling, design and fabrication of nanomagnetic structures for ultra-thin EMI shields in wireless power transfer applications. The ultra-thin EMI shields for wireless power transfer described in this research can achieve greater than 20dB attenuation at 6.78 MHz even for 3–5µm shield thickness.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, a set of no-flow snap-cure underfill materials with high thermal stability, beyond existing conductive films or pastes, was developed in synergy with tools and processes for compatibility with advanced substrate technologies.
Abstract: The needs for higher speed and bandwidth at low power for portable and high-performance applications has been driving recent innovations in packaging technologies with new substrate platforms with finer lithographic capability and dimensional stability, such as ultra-thin glass, to enable off-chip interconnections pitch scaling, down to 30µm. Copper pillar flip-chip thermocompression bonding (TCB) has subsequently become a pervasive technology in the past decade, and is now considered as the next interconnection and assembly node for smart mobile and high-performance systems. However, additional innovations are needed to achieve high-throughput thermocompression bonding on fragile and thin glass, with short cycle times and process conditions within HVM (high-volume manufacturing) tool capability. These include material advances in surface finishes and pre-applied underfill materials with built-in flux, along with a unique co-development strategy to provide high-speed solutions with optimized TCB profiles that consider the dynamic thermal behavior of high-density glass substrates, underfill curing kinetics, as well as tool compatibility. These innovations are the key focus of this paper. Finite element heat transfer and thermomechanical modeling were carried out to emulate assembly processes and compare the behavior of glass substrates to that of current technologies. Residual stresses created during the cool-down phase were extracted to help define process windows for stress management in interconnections, by fine control of intermetallics (IMC) formation. Emerging surface finish chemistries compatible with high-density wiring with sub-10µm spacings, such as OSP or EPAG (electroless Pd, autocatalytic Au) finish, were also evaluated for their effect on the formed IMC systems. A new set of no-flow snap-cure underfill materials with high thermal stability, beyond existing conductive films or pastes, was developed in synergy with tools and processes for compatibility with advanced substrate technologies. Model predictions were validated with assembly trials on ultra-thin glass and organic substrates with 100µm thin cores. Design guidelines for bonding tools, materials and processes were finally derived, for high-speed thermocompression bonding, customized to the performance, reliability and cost needs of next-generation mobile and high-performance systems.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this paper, a double-side thin active and passive component integration for power module applications is presented, where high-density capacitors and inductors are integrated on both sides of the glass substrate, either as thinfilms or as discretely fabricated components.
Abstract: This paper demonstrates 3D functional modules that are ultra-miniaturized, high-performance and low-cost, based on an innovative 3D Integrated Passive and Active Component (3D IPAC) concept [1]. The 3D IPAC concept utilizes an ultra-thin (30–100 microns) and ultra-low-loss glass substrate, low-cost through-package-vias (TPVs) and double-side redistribution layers (RDL) for assembly of both active and passive components. In this concept, both active and passive components are integrated on both sides of the glass substrate, either as thinfilms or as discretely fabricated and assembled components, separated by only about 50–100 microns in interconnection length. This paper specifically addresses the power functional modules with passive components by integrating ultra-thin high-density capacitors on one side and power-supply inductors on the other side. The first part of the paper describes the electrical modeling and design of power inductors and capacitors in 3D IPAC structure. The second section describes the fabrication for both the building block L and C components and the assembly of integrated modules. The last section presents the electrical characterization. The paper, thus, provides a first demonstration of a novel power module platform for double-side thin active and passive component integration for power module applications.

Proceedings ArticleDOI
26 May 2015
TL;DR: In this article, the first demonstration of 8-10µm diameter micro-vias at 20µmm pitch in ultra-thin dry-film polymer dielectrics to achieve high-density and low-cost redistribution layers (RDL) on panel-based glass and organic interposers was presented.
Abstract: This paper describes the first demonstration of 8–10µm diameter micro-vias at 20µm pitch in ultra-thin dry-film polymer dielectrics to achieve high-density and low-cost redistribution layers (RDL) on panel-based glass and organic interposers A polymer dielectric dry-film, ZEONIF ZS100, at 10µm thickness was double side laminated on thin and low CTE glass and organic substrates Micro-via arrays at 20µm pitch were formed by 248nm KrF excimer laser ablation using mask projection scanning, and metallized by a semi-additive process (SAP) using electroless and electrolytic copper plating, with no chemical-mechanical polishing to form fully filled via structures Fully-filled micro-vias at 20um were achieved using processes scalable to large panels for low-cost and high-density 25D and 3D interposers