scispace - formally typeset
Search or ask a question
Author

Sasan H. Ardalan

Bio: Sasan H. Ardalan is an academic researcher from North Carolina State University. The author has contributed to research in topics: Printed circuit board & Nonlinear distortion. The author has an hindex of 4, co-authored 7 publications receiving 383 citations.

Papers
More filters
Journal ArticleDOI
TL;DR: This paper introduces a new method of analysis for deltasigma modulators based on modeling the nonlinear quantizer with a linearized gain, obtained by minimizing a mean-square-error criterion, followed by an additive noise source representing distortion components.
Abstract: This paper introduces a new method of analysis for deltasigma modulators based on modeling the nonlinear quantizer with a linearized gain, obtained by minimizing a mean-square-error criterion [7], followed by an additive noise source representing distortion components. In the paper, input signal amplitude dependencies of delta-sigma modulator stability and signal-to-noise ratio are analyzed. It is shown that due to the nonlinearity of the quantizer, the signal-to-noise ratio of the modulator may decrease as the input amplitude increases prior to saturation. Also, a stable third-order delta-sigma modulator may become unstable by increasing the input amplitude beyond a certain threshold. Both of these phenomena are explained by the nonlinear analysis of this paper. The analysis is carried out for both dc and sinusoidal excitations.

284 citations

Journal ArticleDOI
TL;DR: In this paper, a floating-point error analysis of the RLS and LMS algorithms is presented, where the expression for the mean-square prediction error and the expected value of the weight error vector norm are derived in terms of the variance of the floating point noise sources.
Abstract: A floating-point error analysis of the Recursive LeastSquares (RLS) and Least-Mean-Squares (LMS) algorithms is presented. Both the prewindowed growing memory RLS algorithm (\lambda = 1) for stationary systems and the exponentially windowed RLS algorithm (\lambda for time-varying systems are studied. For both algorithms, the expression for the mean-square prediction error and the expected value of the weight error vector norm are derived in terms of the variance of the floating-point noise sources. The results point to a tradeoff in the choice of the forgetting factor \lambda . In order to reduce the effects of additive noise and the floatingpoint noise due to the inner product calculation of the desired signal, \lambda must be chosen close to one. On the other hand, the floating-point noise due to floating-point addition in the weight vector update recursion increases as \lambda \rightarrow 1 . Floating point errors in the calculation of the weight vector correction term, however, do not affect the steady-state error and have a transient effect. For the prewindowed growing memory RLS algorithm, exponential divergence may occur due to errors in the floatingpoint addition in the weight vector update recursion. Conditions for weight vector updating termination are also presented for stationary systems. The results for the LMS algorithm show that the excess mean-square error due to floating-point arithmetic increases inversely to loop gain for errors introduced by the summation in the weight vector recursion. The calculation of the desired signal prediction and prediction error lead to an additive noise term as in the RLS algorithm. Simulations are presented which confirm the theoretical findings of the paper.

45 citations

Journal ArticleDOI
TL;DR: ZSIM integrates analytic tools, a difference equation simulator, a table-based nonlinear Z-domain simulator, and digital signal processing into a workstation environment to provide fast and accurate simulation of delta-sigma modulators.
Abstract: ZSIM, a nonlinear Z-domain simulator for sampled-data systems, is presented and verified. ZSIM integrates analytic tools, a difference equation simulator, a table-based nonlinear Z-domain simulator, and digital signal processing into a workstation environment to provide fast and accurate simulation of delta-sigma modulators. The use of table-based simulation allows simulation of circuit nonidealities including clock feedthrough and saturation. Benchmark comparisons of difference equation simulations and table-based simulations are presented for delta-sigma modulators suitable for use in voice-band coders. >

33 citations

Journal ArticleDOI
TL;DR: In this article, the benefits and limitations of a table-based approach to the simulation of delta-sigma modulators with switched-capacitor integrators are explored, as well as simulations demonstrating the importance of using an accurate and charge-conservative circuit simulator for table point transient simulations.
Abstract: The program ZSIM (nonlinear Z-domain simulator) is used to explore the benefits and limitations of a table-based approach to the simulation of delta-sigma modulators with switched-capacitor integrators. Simulations demonstrating the effects of clock feedthrough and incomplete settling are presented, as are simulations demonstrating the importance of using an accurate and charge-conservative circuit simulator for the table point transient simulations. The methods used are appropriate for other discrete-time systems where simulation of system-level performance based on the results of transient circuit simulation is desired. >

27 citations

01 Jan 1987
TL;DR: The work is aimed at determining approaches to post layout netlist extraction for printed circuit board simulation taking in to account proximity effects such as coupling of traces and EMI.
Abstract: This technical report is a report on approaches to the verification and parasitic extraction for printed circuit boards. The work is aimed at determining approaches to post layout netlist extraction for printed circuit board simulation taking in to account proximity effects such as coupling of traces and EMI. The report is a product of phase II of an enhancement project entitled "Propagation of High Speed Digital Signals in Printed Circuit Board Systems" funded by Bell Northern Research through the Center for Communications and Signal Processing at North Carolina State University.

1 citations


Cited by
More filters
Book
08 Nov 2004
TL;DR: This chapter discusses the design and simulation of delta-sigma modulator systems, and some of the considerations for implementation considerations for [Delta][Sigma] ADCs.
Abstract: Chapter 1: Introduction.Chapter 2: The first-order delta-sigma modulator.Chapter 3: The second-order delta-sigma modulator.Chapter 4: Higher-order delta-sigma modulation.Chapter 5: Bandpass and quadrature delta-sigma modulation.Chapter 6: Implementation considerations for [Delta][Sigma] ADCs.Chapter 7: Delta-sigma DACs.Chapter 8: High-level design and simulation.Chapter 9: Example modulator systems.Appendix A: Spectral estimation.Appendix B: The delta-sigma toolbox.Appendix C: Noise in switched-capacitor delta-sigma data converters.

2,200 citations

Journal ArticleDOI
TL;DR: The author examines the practical design criteria for implementing oversampled analog/digital converters based on second-order sigma-delta ( Sigma Delta ) modulation and applies these criteria to the design of a modulator that has been integrated in a 3- mu m CMOS technology.
Abstract: The author examines the practical design criteria for implementing oversampled analog/digital converters based on second-order sigma-delta ( Sigma Delta ) modulation. Behavioral models that include representation of various circuit impairments are established for each of the functional building blocks comprising a second-order Sigma 2gD modulator. Extensive simulations based on these models are then used to establish the major design criteria for each of the building blocks. As an example, these criteria are applied to the design of a modulator that has been integrated in a 3- mu m CMOS technology. An experimental prototype operates from a single 5-V supply, dissipates 12 mW, occupies an area of 0.77 mm/sup 2/, and has achieved a measured dynamic range of 89 dB. >

779 citations

Journal ArticleDOI
TL;DR: This article describes conventional A/D conversion, as well as its performance modeling, and examines the use of sigma-delta converters to convert narrowband bandpass signals with high resolution.
Abstract: Using sigma-delta A/D methods, high resolution can be obtained for only low to medium signal bandwidths. This article describes conventional A/D conversion, as well as its performance modeling. We then look at the technique of oversampling, which can be used to improve the resolution of classical A/D methods. We discuss how sigma-delta converters use the technique of noise shaping in addition to oversampling to allow high resolution conversion of relatively low bandwidth signals. We examine the use of sigma-delta converters to convert narrowband bandpass signals with high resolution. Several parallel sigma-delta converters, which offer the potential of extending high resolution conversion to signals with higher bandwidths, are also described.

680 citations

Journal ArticleDOI
TL;DR: Exact formulas for quantizer noise spectra are developed and several results describing the behavior of quantization noise in a unified and simplified manner are discussed.
Abstract: Several results describing the behavior of quantization noise in a unified and simplified manner are discussed. Exact formulas for quantizer noise spectra are developed. They are applied to a variety of systems and inputs, including scalar quantization (PCM), dithered PCM, sigma-delta modulation, dithered sigma-delta modulation, two-stage sigma-delta modulation, and second-order sigma-delta modulation. >

472 citations

Journal ArticleDOI
TL;DR: This paper presents a complete set of blocks implemented in the popular MATLAB SIMULINK environment, which allows designers to perform time-domain behavioral simulations of switched-capacitor sigma-delta (/spl Sigma//spl Delta/) modulators.
Abstract: This paper presents a complete set of blocks implemented in the popular MATLAB SIMULINK environment, which allows designers to perform time-domain behavioral simulations of switched-capacitor (SC) sigma-delta (/spl Sigma//spl Delta/) modulators. The proposed set of blocks takes into account most of the SC /spl Sigma//spl Delta/ modulator nonidealities, such as sampling jitter, kT/C noise, and operational amplifier parameters (white noise, finite DC gain, finite bandwidth, slew rate and saturation voltages). For each block, a description of the considered effect as well as all of the implementative details are provided. The proposed simulation environment is validated by comparing the simulated behavior with the experimental results obtained from two actual circuits, namely a second-order low-pass and a sixth-order bandpass SC /spl Sigma//spl Delta/ modulator.

413 citations