scispace - formally typeset
Search or ask a question

Showing papers by "Stephen J. Pearton published in 1990"


Journal ArticleDOI
Stephen J. Pearton1
TL;DR: In this article, the use of ion bombardment for the creation of resistive layers in III-V semiconductors is reviewed, and two complementary methods to achieve the removal of free carriers in these materials are proposed.

288 citations


Journal ArticleDOI
TL;DR: In this article, a planar doping of InGaAs has been shown to achieve C diffusion coefficient of <10-16 cm2 s-1 at 950°C, in agreement with other reports.

112 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated trimethylamine alane (TMAAl) as a potential replacement for the conventional metalorganic Al sources, and showed that the photoluminescence intensities from AlGaAs grown by MOMBE at 500°C using TMAAl are comparable to those from material grown by metalorganic chemical vapor deposition at 675°C with triethylaluminum (TMAl).
Abstract: AlGaAs grown by metalorganic molecular beam epitaxy (MOMBE) has been problematic due to oxygen and carbon contamination, particularly when triethylaluminum (TEAl) has been used as the aluminum source. Consequently, we have investigated trimethylamine alane (TMAAl) as a potential replacement for the conventional metalorganic Al sources. AlGaAs films with excellent structural and optical properties have been grown with this source. Photoluminescence intensities from AlGaAs grown by MOMBE at 500 °C using TMAAl are comparable to those from material grown by metalorganic chemical vapor deposition at 675 °C using triethylaluminum (TMAl). Carbon and oxygen levels in MOMBE‐grown AlGaAs are drastically reduced in comparison to similar films grown with TEAl.

83 citations


Journal ArticleDOI
TL;DR: In this paper, hydrogen is incorporated in GaAs:C that has been grown by metalorganic molecular beam epitaxy and the hydrogen concentration has been found to be about 5% of the carbon concentration for our growth conditions.
Abstract: Atomic profiles show that hydrogen is incorporated in GaAs:C that has been grown by metalorganic molecular beam epitaxy. The hydrogen concentration has been found to be about 5% of the carbon concentration for our growth conditions. An infrared absorption study shows that this hydrogen is involved in stable C‐H complexes. At the lower C concentrations (<1019 cm−3) the CAs‐H complex is the dominant species involving C and H. At higher C concentrations new complexes involving C and H appear.

67 citations


Journal ArticleDOI
TL;DR: In this paper, the drift of a donor-passivating hydrogen species under the action of the electric field in the depletion region of a reverse-biased Au/n-Si Schottky diode hydrogenated by exposure to a low-frequency discharge was demonstrated.
Abstract: We demonstrate the drift of a donor‐passivating hydrogen species under the action of the electric field in the depletion region of a reverse‐biased Au/n‐Si Schottky diode hydrogenated by exposure to a low‐frequency discharge. The redistribution is explained by the unidirectional drift of a negatively charged passivating species and is confirmed by secondary‐ion mass spectrometry profiling in deuterated diodes. The results are consistent with the presence of an acceptor level for hydrogen in n‐type Si, and are analogous to the situation in p‐type Si where drift experiments reveal the existence of positively charged hydrogen donor species.

60 citations


Journal ArticleDOI
TL;DR: In this paper, the acceptor passivation of acceptor-passivating hydrogen species in p-type GaAs has been observed in reverse bias annealed Al Schottky diode samples.
Abstract: Transport of the acceptor‐passivating hydrogen species in p‐type GaAs has been observed in reverse bias annealed Al Schottky diode samples. The motion of the positively charged hydrogen across the depletion region of these diodes is confirmed both by changes in the electrically active acceptor profiles with time, and by direct measurement of the migration using secondary‐ion mass spectrometry on deuterated samples. Acceptor passivation is unstable under minority‐carrier injection by illumination at 25 °C. Hydrogen injection into p‐type GaAs during boiling in water or etching in H2SO4:H2O2:H2O has also been demonstrated.

50 citations


Journal ArticleDOI
TL;DR: By monitoring photoluminescence (PL) in real time and in situ, hydrogen plasma operating conditions have been optimized for surface passivation of nativeoxide-contaminated GaAs.
Abstract: By monitoring photoluminescence (PL) in real time and in situ, hydrogen plasma operating conditions have been optimized for surface passivation of native-oxide-contaminated GaAs. PL enhancement is critically dependent on exposure time and pressure because of competition between plasma passivation and damage. Optimal exposure time and pressure are inversely related; thus, previous reports of ineffective passivation at room temperature result from overexposure at low pressure. Plasma treatment is effective in removing As to leave a Ga-rich oxide; removal of excess As increases the photoluminescence yield as the corresponding near-midgap-state density is reduced. Passivation is stable for more than a month. These results demonstrate the power of real time monitoring for optimizing plasma processing of optoelectronic materials.

50 citations


Journal ArticleDOI
TL;DR: In this paper, the formation of high resistivity regions in GaAs-AlGaAs heterojunction bipolar transistor (HBT) structures by oxygen and hydrogen ion implantation has been investigated as a function of ion dose and subsequent annealing temperature.
Abstract: The formation of high‐resistivity (>107Ω/⧠) regions in GaAs‐AlGaAs heterojunction bipolar transistor (HBT) structures by oxygen and hydrogen ion implantation has been investigated as a function of ion dose and subsequent annealing temperature (400–700 °C). Isolation leakage currents as low as 8 μA mm−1 at 6 V can be achieved between 100‐μm‐wide ohmic contacts separated by a 16 μm spacing. The isolation of these 1.8‐μm‐thick heterojunctions requires up to six different energy oxygen implants (40–400 keV) and three different energy proton implants (100–200 keV) with doses in the mid 1012 cm−2 range for O+ and 5×1014 cm−2 for H+ ions. Similar results can be achieved by substituting a MeV energy oxygen implant for the proton implants. The optimum post‐implant annealing temperature depends on the ion dose but is in the range 500–600 °C. The evolution of the sheet resistance of the implanted GaAs‐AlGaAs material with annealing is consistent with a reduction in tunneling probabilities of trapped carriers between deep level states for temperatures up to ∼600 °C, followed by significant annealing of these deep levels. Small geometry (2×9 μm2) HBTs exhibiting current gain of 44 and cutoff frequency fT as high as 45 GHz are demonstrated using implant isolation.

49 citations


Journal ArticleDOI
TL;DR: In this paper, the electrical properties of both InP and GaAs after ion milling at ≥ 500 eV cannot be restored by annealing, and it is necessary to remove the damaged surface by wet chemical etching.
Abstract: Near‐surface damage created by Ar+ ion milling in InP and GaAs was characterized by capacitance‐voltage, current‐voltage, photoluminescence, ion channeling, and transmission electron microscopy. We find no evidence of amorphous layer formation in either material even for Ar+ ion energies of 800 eV. Low ion energies (200 eV) create thin (≤100 A) damaged regions which can be removed by annealing at 500 °C. Higher ion energies (≥500 eV) create more thermally stable damaged layers which actually show higher backscattering yields after 500 °C annealing. Heating to 800 °C is required to restore the near‐surface crystallinity, although a layer of extended defects forms in GaAs after such a treatment. No dislocations are observed in InP after this type of annealing. The electrical characteristics of both InP and GaAs after ion milling at ≥500 eV cannot be restored by annealing, and it is necessary to remove the damaged surface by wet chemical etching. For the same Ar+ ion energies the damaged layers are deeper for InP than for GaAs after 500 eV ion milling at 45° incidence angle. Removal of ∼485 and ∼650 A from GaAs and InP, respectively, restores the initial current‐voltage characteristics of simple Schottky diodes.

47 citations


Journal ArticleDOI
TL;DR: In this paper, the authors showed that the electrical behavior of the contact to the heavily doped GaAs was not affected by heat treatments at temperatures up to 450 ˚°C.
Abstract: Increasing the concentration of the carbon dopants in p‐GaAs layers grown on semi‐insulating substrates to levels of 1×1020 to 5×1020 cm−3 enables the formation of an ohmic contact with low resistance using the refractory Pt/Ti metallization. These contacts showed ohmic behavior prior to any heat treatment with specific contact resistance as low as 7×10−6 Ω cm2 (0.08 Ω mm) for the lower doping level and 8×10−7 Ω cm2 (0.04 Ω mm) for the higher level. Small improvements in the specific resistance of the former contact were achieved by rapid thermal processing at a temperature of 450 °C for 30 s, which yielded a value of 4.9×10−6 Ω cm2. The electrical nature of the contact to the heavily doped GaAs was not affected by heat treatments at temperatures up to 450 °C. Rapid thermal processing of these contacts at higher temperatures, however, caused an increase in the contact resistance which was correlated to the expanded Ti/GaAs and Pt/GaAs interfacial reactions. Current‐voltage characteristics were found to be temperature independent. This suggested that the field emission quantum‐mechanical tunneling was the dominant carrier transport mechanism in these contacts.

47 citations


Journal ArticleDOI
TL;DR: In this paper, the reactive ion etching of InP, InGaAs, and InAlAs in CCl2F2/O2 or C2H6/H2 discharges was investigated as a function of the plasma parameters pressure, power density, flow rate, and relative composition.
Abstract: The reactive ion etching of InP, InGaAs, and InAlAs in CCl2F2/O2 or C2H6/H2 discharges was investigated as a function of the plasma parameters pressure, power density, flow rate, and relative composition. The etch rates of these materials are a factor of 3–5× faster in CCl2F2/O2 (∼600–1000 A min−1) compared to C2H6/H2 (160–320 A min−1). Significantly smoother morphologies are obtained with C2H6/H2 etching provided the composition of the plasma is no more than 10%–20% by volume of C2H6. At higher ethane compositions, polymer formation increases leading to micromasking and rough surface morphologies. Subsurface disorder is limited to <300 A deep for both gas chemistries for plasma power densities of 0.85 W cm−2.The C2H6/H2 mixture leaves an In‐rich surface in all cases, but this surface is free of any residual contamination, whereas the CCl2F2/O2 chemistry leaves chlorofluorocarbon residues ∼20–50 A thick on the surface of all three In‐based materials.

Journal ArticleDOI
TL;DR: In this paper, the first demonstration of GaAs/AlGaAs HBTs grown completely by metal organic molecular beam epitaxy (MOMBE) was reported, and a common-emitter current gain of 140 was measured for 90 μm diameter devices with a base doping of 1 × 1019cm−3.
Abstract: The first demonstration of GaAs/AlGaAs HBTs grown completely by metal organic molecular beam epitaxy (MOMBE) is reported. The The p-type dopant used for the base layer was carbon. Tin was used as the n-type dopant for the emitter as well as the collector. A common-emitter current gain of 140 was measured for 90 μm diameter devices with a base doping of 1 × 1019cm−3. Small area (2 × 6μm2), devices show a current gain cut-off frequency of 40GHz.

Journal ArticleDOI
TL;DR: In this article, the redistribution of Zn in the base region of GaAs-AlGaAs heterojunction bipolar transistor structures during growth by organometallic vapor phase epitaxy has been examined with respect to the presence of Si doping in the emitter contact, emitter, and collector/subcollector layers, and as a function of the Zn doping concentration and Si counterdoping level in the p+ base.
Abstract: The redistribution of Zn in the base region of GaAs‐AlGaAs heterojunction bipolar transistor structures during growth by organometallic vapor phase epitaxy has been examined with respect to the presence of Si doping in the emitter‐contact, emitter, and collector/subcollector layers, and as a function of Zn doping concentration and Si counterdoping level in the p+ base. For a growth temperature of 675 °C the Zn shows no significant redistribution up to concentrations of 3×1019 cm−3 without Si doping. The addition of Si to the adjacent AlGaAs emitter and collector/subcollector layers causes substantial diffusion of Zn from the base, while Si doping of the GaAs emitter contact results in even greater Zn redistribution. Under these conditions, the Zn concentration in the base attains a maximum value of ∼7×1018 cm−3. Silicon counterdoping in the base region retards the Zn diffusion, while strain introduced by an InGaAs cap layer has no effect on the Zn redistribution.

Journal ArticleDOI
TL;DR: In this article, a 1:1 correspondence between the hole density and carbon concentration in as-grown samples, although postgrowth annealing at 900°C leads to a reduction in the net free-carrier concentration (typically a decrease of ∼40% for 30 s anneals).
Abstract: Hole concentrations in excess of 1020 cm−3 have been achieved in AlxGa1−xAs using carbon doping during metalorganic molecular beam epitaxy. Hall and secondary‐ion mass spectrometry measurements show a 1:1 correspondence between the hole density and carbon concentration in as‐grown samples, although post‐growth annealing at 900 °C leads to a reduction in the net free‐carrier concentration (typically a decrease of ∼40% for 30 s anneals). The carbon‐localized vibrational modes (LVMs) show fine structure due to the presence of three different symmetries for substitutional carbon CAs, namely Td, C2v, and C3v. The experimental CAs LVM line positions are in remarkable agreement with the predictions of a rigid ion model.

Journal ArticleDOI
TL;DR: In this paper, an enhancement of hot-electron photoluminescence due to degenerate conditions in the valence band has been observed in metalorganic molecular beam epitaxial grown GaAs:C with net acceptor concentration of up to 4×1020 cm−3.
Abstract: An enhancement of hot‐electron photoluminescence due to degenerate conditions in the valence band has been observed in metalorganic molecular beam epitaxial grown GaAs:C with net acceptor concentration of up to 4×1020 cm−3. The photoluminescence (PL) was studied as a function of free‐carrier concentration and sample temperature. Comparison of the PL spectra from the heavily doped GaAs to that of undoped material shows a peak shift to lower energy coupled with a greatly enhanced high‐energy tail extending into the visible region of the spectrum. At 300 K, luminescence at 1.8 eV is observed at 20% the intensity of the peak luminescence at 1.36 eV.

Journal ArticleDOI
TL;DR: In this article, the use of photoluminescence spectroscopy to monitor epitaxial films of Al0.3Ga0.7As and semi-insulating GaAs substrates during BCl3 plasma etching and H2 plasma passivation was reported.
Abstract: Monitoring wafer changes in situ during plasma treatment provides real‐time feedback for developing and controlling device processing. In this letter we report the use of photoluminescence spectroscopy to monitor epitaxial films of Al0.3Ga0.7As and semi‐insulating GaAs substrates during BCl3 plasma etching and H2 plasma passivation. Photoluminescence monitoring is used for etching endpoint detection, surface damage quantification, and wafer temperature measurement.



Journal ArticleDOI
TL;DR: In this article, it was shown for the first time that carbon behaves predominantly as an acceptor in InGaAs and AlInAs under co-implantation conditions, regardless of species.
Abstract: It is shown for the first time that carbon behaves predominantly as an acceptor in InGaAs and AlInAs under co‐implantation conditions. The co‐implanted ion, regardless of species, acts to create vacant lattice sites for occupation by the carbon. Implantation of 40 keV carbon ions alone at doses between 5×1012 and 5×1014 cm−2 followed by annealing in the range 600–950 °C for 10 s does not produce any measurable electrical activity in either material. In InGaAs, carbon implantation at 5×1014 cm−2 produced net acceptor activations of 20, 11, or 6% for Ga, Ar, or As co‐implantation, respectively, at the same doses after 700 °C, 10 s anneals. Similar results were obtained for AlInAs after annealing at 900 °C. The diffusion coefficient for carbon is estimated from secondary‐ion mass spectrometry measurements to be less than 3.3×10−14 cm2 s−1 at 800 °C in both materials.

Journal ArticleDOI
TL;DR: In this article, the reverse bias breakdown voltage of TiPtAu Schottky diodes fabricated on the GaAs on InP was investigated and shown to be stable to 500°C annealing for 5 min.
Abstract: Incorporation of atomic hydrogen into heteroepitaxial Si‐doped GaAs layers grown directly on InP substrates by organometallic vapor phase epitaxy produces substantial increases in the reverse bias breakdown voltage of TiPtAu Schottky diodes fabricated on the GaAs‐on‐InP. Plasma hydrogenated diodes annealed at 400 °C to restore the electrical activity of the passivated shallow donors have reverse breakdown voltages (VB) of ∼6.5 V compared to 4.5 V for untreated samples. The increases in VB are stable to 500 °C annealing for 5 min. Atomic profiling of deuterated samples showed substantial outdiffusion of deuterium from the GaAs at 600 °C, with increasing accumulation at the heterointerface. The deuterium in this disordered region after 600 °C annealing is in a relatively immobile, electrically inactive state.

Journal ArticleDOI
TL;DR: In this paper, the effectiveness of two types of SiC-coated graphite susceptors in providing degradation-free rapid thermal annealing of InP and GaAs was compared.
Abstract: We compare the effectiveness of two types of SiC‐coated graphite susceptors in providing degradation‐free rapid thermal annealing of InP and GaAs The first type of susceptor must be charged with the group‐V species prior to any annealing cycles Under the optimum charging conditions, effective surface protection is provided for up to five sequential high‐temperature (900 °C, 10 s) anneals of GaAs, or only one anneal (750 °C, 10 s) of InP before recharging is necessary The incorporation of small reservoirs into the susceptor allows for the provision of a constant group‐V partial pressure over the wafer, and it appears that for this type of susceptor many dozens of InP or GaAs wafers can be annealed without any apparent surface degradation The relative merits of using InAs, GaAs, or InP as the group‐V source in the reservoirs have been compared, and it is found that the best protection is achieved when one uses the same semiconductor in the reservoirs as is being annealed

Journal ArticleDOI
TL;DR: Interpretation du deplacement isotopique anormale dans le spectre de vibration de la paire D-B en termes d'une resonance de Fermi entre l'harmonique second de la vibration transversale de B et the vibration longitudinale fondamentale de D.
Abstract: Interpretation du deplacement isotopique anormale dans le spectre de vibration de la paire D-B en termes d'une resonance de Fermi entre l'harmonique second de la vibration transversale de B et la vibration longitudinale fondamentale de D. Prevision par une theorie quantique de la force d'oscillateur et de la polarisation de l'absorption IR du second harmonique perturbe de la vibration du bore

Journal ArticleDOI
TL;DR: In this article, the lattice location of Se atoms implanted into InP was studied by simultaneous RBS (for detecting In) and PIXE(for detecting Se), using 2.5 MeV proton ions in the channeling mode.
Abstract: The lattice location of Se atoms implanted into InP was studied by simultaneous RBS (for detecting In) and PIXE (for detecting Se), using 2.5 MeV proton ions in the channeling mode. For InP single crystals, the 〈111〉 atomic row consists of alternate In and P atoms, while in the 〈110〉 direction there exist pure In and P atomic rows. Since the channeling half-angle is proportional to Z 2 1/2 , where Z 2 is the average atomic number of the constituent atoms in the row, it is possible to determine the specific lattice location and the substitutional fraction of Se atoms in InP by measuring angular distributions of Se and In across the 〈111〉 and 〈110〉 axes. The results show that Se atoms occupy P sites with different fractions depending on the implantation temperature and dose.

Journal ArticleDOI
TL;DR: In this paper, high-quality GaAs-AlGaAs heterojunction bipolar transistors (HBTs) in which the carbon-doped base layers (p=10/sup 10/10/s/sup 20/ cm/sup -3/, 400-800 AA thick) were grown by metalorganic molecular-beam epitaxy (MOMBE) and a subsequent regrowth using metalorganic chemical vapor deposition (MOCVD) is used to provide the n/sup +/ AlGaAs emitter and GaAs/InGaAs contact layers
Abstract: High-quality GaAs-AlGaAs heterojunction bipolar transistors (HBTs) in which the carbon-doped base layers (p=10/sup 10/-10/sup 20/ cm/sup -3/, 400-800 AA thick) and Sn-doped collector and subcollector layers are grown by metalorganic molecular-beam epitaxy (MOMBE) and a subsequent regrowth using metalorganic chemical vapor deposition (MOCVD) is used to provide the n/sup +/ AlGaAs emitter and GaAs/InGaAs contact layers are discussed. A current gain of 20 was obtained for a base doping of 10/sup 19/ cm/sup -3/ (800 AA thick) in a 90- mu m-diameter device, with ideality factors of 1.0 and 1.4 for the base-collector and emitter-base junctions, respectively, demonstrating the excellent regrowth-interface quality. For a base doping of 10/sup 20/ cm/sup -3/ (400 AA thick), the current gain decreased to 8. >

Journal ArticleDOI
TL;DR: In this article, tungsten thin films on n-InP layers were investigated for potential use as a refractory ohmic contact for self-aligned In-based etched mesa buried heterostructure laser devices.
Abstract: Tungsten thin films on n‐InP layers have been investigated for potential use as a refractory ohmic contact for self‐aligned In‐based etched mesa buried heterostructure laser devices. The W films were rf sputter deposited onto InP substrates, S doped in the range of 1×1018–1×1019 cm−3. The deposition parameters were optimized to produce films with the lowest possible induced stress, minimum argon content, and best morphology for as‐deposited wafers and after undergoing reactive‐ion etching and high‐temperature thermal cycles (700 °C), which are required for the self‐aligned technology. These parameters were obtained for films that were rf sputter deposited at a discharge power of 240 W and under argon pressure of about 10 mTorr. A thermal expansion coefficient of 5.84×10−6 C−1 and a biaxial elastic modulus of 0.97×1012 Pa were measured for the films. The electrical behavior of the W films sputtered onto n‐InP was studied by means of I‐V and contact resistance measurements, which revealed a linear ohmic con...

Journal ArticleDOI
TL;DR: Ces profils de profondeur exponentiels observe dans certains cas de diffusion de l'hydrogene dans des semiconducteurs peuvent s'expliquer en incluant un terme dans l'equation de diffusion.
Abstract: Les profils de profondeur exponentiels, observes dans certains cas de diffusion de l'hydrogene dans des semiconducteurs peuvent s'expliquer en incluant un terme dans l'equation de diffusion Ce terme decrit le piegeage multiple de l'hydrogene a une impurete

Journal ArticleDOI
TL;DR: In this paper, the reactive ion etching (RIE) of InP, InAs, InSb, InGaAs, and AlInAs in CHCl2F-based discharges was investigated as a function of plasma power density, pressure, and gas composition.
Abstract: The reactive ion etching (RIE) of InP, InAs, InSb, InGaAs, and AlInAs in CHCl2F —or CHClF2 —based discharges was investigated as a function of plasma power density, pressure, and gas composition. For 0.56 W cm−2, 4 mTorr discharges the etch rates are in the range 125 A min−1 (AlInAs) to 390 A min−1 (InAs). These are comparable to the etch rates obtained with C2H6/H2 RIE under similar conditions. All of these materials exhibit smooth surface morphologies over a wide range of RIE parameters. Carrier compensation is observed to depths of ∼2000 A in n‐type InP for high power density (1.3 W cm2) etching, but lower powers yield surfaces that display reasonable Schottky diode behavior for evaporated Au contacts. Thin (20–30 A) residue layers containing 3–9 at. % Cl and 1–3 at. % F (24 at. % for AlInAs) are present after the dry etching, although this contamination can be removed by solvent cleaning. The formation of a high concentration of AlF3 on AlInAs provides a natural etch stop for removal of InGaAs layers ...

Journal ArticleDOI
TL;DR: In this paper, the effects of hydrogenation on the low-temperature photoluminescence properties of GaAs grown on InP substrate by metalorganic chemical vapor deposition are investigated.
Abstract: The effects of hydrogenation on the low‐temperature (5 K) photoluminescence properties of GaAs grown on InP substrate by metalorganic chemical vapor deposition are investigated. An emission band at ∼1.4 eV originating from the GaAs/InP interfacial region shows a 30‐fold increase in intensity relative to the GaAs band‐edge emission after exposure to hydrogen plasma for 30 min at 250 °C. This improvement in intensity is attributed to hydrogen passivation of defects at the heterointerface caused by the large (≊4%) lattice mismatch between GaAs and InP. Annealing the hydrogenated sample at 350 °C nullifies the passivation effect. Further, the 1.4‐eV band shifts to higher energy on annealing the sample in the temperature range 150–450 °C with the hydrogenated sample exhibiting a larger shift than the untreated sample. It is suggested that the annealing‐induced peak shift arises due to modification of the interface and that it is greater in the hydrogenated sample compared to the untreated sample.

Journal ArticleDOI
TL;DR: In this paper, a V-grooved laser with a monolithically integrated intracavity loss modulator was used to vary the threshold current from Ith = 15 mA at an absorber voltage of VS = 2.5 V.
Abstract: GRINSCH GaAs/AlGaAs laser structures grown by OMVPE using a novel aluminium source, trimethylamine alane, have been successfully fabricated. Broad-area lasers made from the material have a threshold current density of 200 A cm−2. A V-grooved laser with a monolithically integrated intracavity loss modulator was used to vary the threshold current from Ith = 15 mA at an absorber voltage of VS = 2.5 V to Ith = 210 mA for VS = −2.5 V.

Journal ArticleDOI
TL;DR: In this paper, the depth profiles measured by secondary ion mass spectrometry of 56 MeV oxygen ions implanted into Si, GaAs, and InP are reported, and the experimental projected ranges appear to be 10% larger than theoretical predictions.
Abstract: The depth profiles measured by secondary‐ion mass spectrometry of 56 MeV oxygen ions implanted into Si, GaAs, and InP are reported. Most of the oxygen is contained within a sharp (full width at half maximum ∼2 μm) non‐Gaussian profile centered at ∼31 μm in GaAs, ∼36 μm in InP, and ∼46 μm in Si, with the distribution skewed towards greater depths. The experimental projected ranges appear to be 10% larger than theoretical predictions. Changes in the electrical, optical, and structural properties of the material were measured by transmission electron microscopy (TEM), photoluminescence, and spreading resistance profiling. In the as‐implanted Si, the maximum perturbation in the electrical properties occurs at ∼37 μm. No defects are visible by TEM in any of the as‐implanted semiconductors for oxygen ion doses of 1.35×1015 cm−2 but the photoluminescent intensity in GaAs and InP is reduced by more than an order of magnitude as a result of this type of implantation.