scispace - formally typeset
Search or ask a question

Showing papers by "Stephen J. Pearton published in 1995"


Journal ArticleDOI
TL;DR: In this paper, the activation energy of the deep states controlling the resistivity of implant-isolated materials is in the range 0.8-0.9 eV, which is applicable to the fabrication of a variety of different GaN-based electronic and photonic devices.
Abstract: N‐ and p‐type regions have been produced in GaN using Si+ and Mg+/P+ implantation, respectively, and subsequent annealing at ∼1100 °C. Carrier activation percentages of 93% for Si and 62% for Mg were obtained for implant doses of 5×1014 cm−2 of each element. Conversely, highly resistive regions (≳5×109 Ω/⧠) can be produced in initially n‐ or p‐ type GaN by N+ implantation and subsequent annealing at ∼750 °C. The activation energy of the deep states controlling the resistivity of these implant‐isolated materials is in the range 0.8–0.9 eV. These process modules are applicable to the fabrication of a variety of different GaN‐based electronic and photonic devices.

284 citations


Journal ArticleDOI
TL;DR: In this article, single-crystal AlN grown on Al2O3 was found to be wet etched by AZ400K photoresist developer solution, in which the active component is KOH.
Abstract: Single‐crystal AlN grown on Al2O3 is found to be wet etched by AZ400K photoresist developer solution, in which the active component is KOH. The etching is thermally activated with an activation energy of 15.5±0.4 kcal mol−1, and the etch rate is found to be strongly dependent on the crystalline quality of the AlN. There was no dependence of etch rate on solution agitation or any crystallographic dependence noted, and the etching is selective over other binary group III nitrides (GaN, InN) and substrate materials such as Al2O3 and GaAs.

174 citations


Journal ArticleDOI
TL;DR: In this article, the same authors reported the highest etch rate reported for this material, with a maximum of 960 A/min at 30 °C and a minimum of 170 C.
Abstract: Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl2/H2/CH4/Ar and Cl2/H2/Ar plasmas. Using Cl2/H2/CH4/Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 °C and then increase to a maximum of 2340 A/min at 170 °C. The InN etch rate decreases monotonically from 30 to 150 °C and then rapidly increases to a maximum of 2300 A/min at 170 °C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 °C. When CH4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III–V nitrides remains unchanged after exposure to the Cl2/H2/CH4/Ar plasma over the temperatures studied.

107 citations


Journal ArticleDOI
TL;DR: In this article, changes in conductivity of InN, In0.5Ga, In 0.5N, and In0.,5Al layers exposed to Ar plasmas under both electron cyclotron resonance and reactive ion etching conditions were measured as a function of rf power, pressure, and exposure time.
Abstract: Changes in conductivity of InN, In0.5Ga0.5N, and In0.5Al0.5N layers exposed to Ar plasmas under both electron cyclotron resonance and reactive ion etching conditions have been measured as a function of rf power, pressure, and exposure time. The combination of high microwave and high rf powers produces large increases (10–104 times) in sheet resistance of the nitrides, but conditions more typical of real etching processes (rf power <150 W) do not change the electrical properties. The nitrides are more resistant to damage introduction than other III–V semiconductors. The removal of damage‐related traps occurs with an activation energy of ∼2.7 eV.

88 citations


Journal ArticleDOI
TL;DR: In this paper, measurements of depth profiles and stability against redistribution with annealing up to 800 or 900°C, for implanted Be, C, Mg, Si, S, Zn, Ge, and Se as dopants in GaN were reported.
Abstract: Results are reported of measurements of depth profiles and stability against redistribution with annealing up to 800 or 900 °C, for implanted Be, C, Mg, Si, S, Zn, Ge, and Se as dopants in GaN. The results confirm the high‐temperature stability of dopants in this material up to temperatures that vary from 600 to 900 °C. S redistributes for temperatures above 600 °C, and Zn and Se, for temperatures above 800 °C. All of the other elements are stable to 900 °C. These results indicate that direct implantation of dopants rather than masked diffusion will probably be necessary to define selective area doping of III–V nitride device structures based on these results for GaN.

81 citations


Journal ArticleDOI
TL;DR: In this article, 12 different elements used for doping or isolation were implanted into GaN (and selected species into AlN and InN), and the resulting range parameters were measured by secondary ion mass spectrometry.
Abstract: Twelve different elements used for doping or isolation were implanted into GaN (and selected species into AlN and InN), and the resulting range parameters were measured by secondary ion mass spectrometry. For lighter elements such as Be, F and H, the agreement between experimental range and range straggle determined using a Pearson IV computer fitting routine and those predicted by TRIM 92 calculations was good, but for heavier elements such as Ge and Se, the discrepancy can be as much as a factor of two in range. There was little redistribution of any of the investigated species up to 700°C, except for 2H in AlN and S in GaN. Elements such as F and Be, which are generally rapid diffusers in III–V compounds, do not display any redistribution in GaN for temperatures up to 800°C.

44 citations


Journal ArticleDOI
TL;DR: The dominant deep state introduced by implantation and annealing has ionization energies of ∼0.35-0.39 eV and therefore are relatively high in the band gap of the InGaN as discussed by the authors.
Abstract: Implantation of N+ ions in n‐type InxGa1−xN (0.37≤x≤1.0) produces maximum increases in sheet resistance of 50–100 times upon annealing in the range of 400–600 °C. The dominant deep state introduced by implantation and annealing have ionization energies of ∼0.35–0.39 eV and therefore are relatively high in the band gap of the InGaN. There was no evidence for chemical deep levels associated with the implanted N+ or F+. The implant isolation behavior of n‐type InGaN appears analogous to that of InP and InGaAs.

41 citations


Book
01 Jan 1995
TL;DR: InP-based Heterojunction bipolar transistors (HBTs) have been investigated in this article, where the effect of reducing xb on electron transport has been investigated.
Abstract: Part 1 Introduction: wet chemical etch mixtures for InP wet chemical etch mixtures for other III-V materials. Part 2 Growth of InP-based heterojunction bipolar transistors: substrate preparation growth behaviour and control doping N-type doping P-type dopants growth of device structures selective epitaxial growth. Part 3 Self-aligned processing of InP-based HBTs: wet chemical processing wet etching of InP and related compounds dry etch processing dry etching of InP and related compounds device results. Part 4 Non-equilibrium electron transport in heterojunction bipolar transistors: advantages of HBTs electron transport in semiconductors the semiclassical approach preliminary considerations effect of reducing xb on electron transport effect of reducing xc on collector transport ultra-high-frequency performance comparison of graded and abrupt junction HBTs comments on semiclassical understanding. Part 5 Device and circuit fabrication, device characteristics, and reliability: device and circuit fabrication performance of AlInAs/GaInAs HBT over temperature performance of InP-based double heterojunction bipolar transistors InP-based HBT reliability. Part 6 Radiation effects on InP-based heterojunction bipolar transistors: previous work experimental AlInAs/InGaAs total dose experiments comparison with GaAs/AlGaAs HBTs GaAs/AlGaAs transient dose results stimulation of transient ionizing radiation. Part 7 Device physics and modelling: basic device operation junction design submicron InP HBT for low-power ultrawideband applications current transport in abrupt emitter HBTs device modelling DC model small signal model large signal model noise strain-base InP HBT Gunn oscillations in the collector transit region. Part 8 High speed InP HBT circuits: an overview of InP technology current-mode logic. Part 9 Analog-to-digital converters using III-V HBTs: III-V HBT device characteristics a review of high-speed ADC architectures analog-to-digital converter components digital-to-analog converters layout and packaging considerations for ADC circuits future predictions. Part 10 Millimeter wave generation using InP HBT phototransistors: picosecond optoelectronic measurement system HBT as a photodetector transport equations optical gain optical gain G and current gain B transient response and bandwidth of a phototransistor millimeter wave generation by optically injecting HBTs CW optical mixing experiments.

41 citations


Journal ArticleDOI
TL;DR: InxGa1−xN (x=0.07 −1.0) layers were grown on GaAs substrates by metalorganic molecular beam epitaxy as mentioned in this paper.
Abstract: InxGa1−xN (x=0.07–1.0) layers were grown on GaAs substrates by metalorganic molecular beam epitaxy. The films display strong n‐type conductivity (n≳1020 cm−3) for a wide range of compositions. The use of an H2 rather than a He carrier gas produces a lower carrier concentration in the as‐grown material. The InxGa1−xN is single crystal at low Ga concentrations with the lattice mismatch accommodated by a high density of stacking faults and microtwins. The InN layers contain only the cubic phase, while the ternaries contain both cubic and hexagonal phases.

40 citations


Journal ArticleDOI
TL;DR: In this article, the authors quantified the outdiffusion of hydrogen using 2H plasma-treated (250 or 400 °C, 30 min) or 2H+implanted (implanted GaN, AlN, and InN) from 300 to 900°C and used secondary ion mass spectrometry to measure the resultant distribution.
Abstract: Hydrogen passivation of acceptor dopants during metal‐organic chemical vapor deposition of GaN limits the acceptor concentration in as‐grown samples, and post‐growth annealing at ≥550 °C is necessary to reactivate the dopants. We have quantified the outdiffusion of hydrogen using 2H plasma‐treated (250 or 400 °C, 30 min) or 2H+‐implanted GaN, AlN, and InN annealed from 300 to 900 °C and used secondary ion mass spectrometry to measure the resultant distribution. In plasma‐treated GaN samples, two populations of 2H are found: (i) a high concentration (≳1020 cm−3), near‐surface (<0.3 μm) region probably due to the formation of platelet defects, and (ii) a lower concentration (∼1018 cm−3) plateau region extending throughout the film thickness (∼1 μm), most likely due to 2H‐point‐defect pairing. Deuterium in region (i) begins outdiffusion at 300 °C in GaN, but in region (ii) does not commence until ≳800 °C. In implanted samples the 2H redistribution occurs with the same characteristics as the bulk population i...

38 citations


Journal ArticleDOI
TL;DR: Very high quality p-type GaN thin films have been epitaxially grown on c-sapphire substrates by the MOCVD technique in a multi-wafer rotating-disk reactor at 1040°C with a GaN buffer layer of ∼200 A grown at 530°C as discussed by the authors.
Abstract: Very high quality p-type GaN thin films have been epitaxially grown on c-sapphire substrates by the MOCVD technique in a multiwafer rotating-disk reactor at 1040°C with a GaN buffer layer of ∼200 A grown at 530°C. The undoped GaN films have a low n-type background carrier concentration of ∼5 x 10 16 cm -3 with an x-ray FWHM GaN(0002) of 280 arc-sec across the 1 in. substrate. Biscyclopentadienyl magnesium (Cp 2 Mg) was used as the precursor Cp 2 Mg, the p-dopant. The Mg-doped GaN wafers retained an excellent surface morphology. In addition, after post annealing in N 2 ambient at ∼700°C for an hour, the Hall measurements show 6.7 x 10 17 to 5.2 x 10 18 cm -3 carrier concentration depending on Cp 2 Mg flow rate, with a hole mobility of 10-20 cm 2 /V-s which is the best mobility for those hole concentrations reported in the literature to date.

Journal ArticleDOI
TL;DR: In this article, the use of multiple energy He+ implantation for producing electrical isolation between neighboring GaAs/AlGaAs or GaAs-InGaP heterojunction bipolar transistors is found to alleviate the problem of time-dependent current gain behavior found in H+ isolated devices.
Abstract: The use of multiple energy He+ implantation for producing electrical isolation between neighboring GaAs/AlGaAs or GaAs/InGaP heterojunction bipolar transistors is found to alleviate the problem of time‐dependent current gain behavior found in H+ isolated devices. This latter phenomenon is ascribed to rapid diffusion of atomic hydrogen into the active base region during the anneal required to maximize the resistance of the implanted areas, and the subsequent reactivation of passivated C acceptors in the base. Replacement of H+ with He+ ions in the implant scheme produces similar high resistance isolation regions (≳108 Ω/cm) without the presence of hydrogen passivation effects.

Journal ArticleDOI
TL;DR: In this paper, the effect of mole flow on material, electrical, and optical properties was studied, and it was observed that both n and p-type doped GaN exhibited an excellent surface morphology, even with a high mole flow of doping precursors.
Abstract: n‐ and p‐doped GaN thin films have been epitaxially grown on c‐sapphire substrates by metal‐organic chemical‐vapor deposition in a production scale multiwafer‐rotating‐disk reactor. The in situ doping was performed with material having a low background carrier concentration of n∼mid‐1016 cm−3. Biscyclopentadienyl magnesium (Cp2Mg) and disilane (Si2H6) were used as the precursors for the p and n dopants, Mg and Si, respectively. The effect of mole flow on material, electrical, and optical properties was studied. We observed that both n‐ and p‐type doped GaN exhibited an excellent surface morphology, even with a high mole flow of doping precursors. After the Mg‐doped GaN was annealed in a N2 ambient at ∼700 °C for 30–60 min, the highly resistive GaN was converted into p‐type GaN with a low resistance of 0.1–1.0 Ω cm. Transmission electron microscopy showed that the defect density on the annealed Mg‐doped GaN is only 4×109 cm−2 which is of the same order as undoped GaN (1.5×109 cm−2). One of the best p‐GaN s...

Journal ArticleDOI
TL;DR: In this article, both abrupt InN/GaAs and graded GaAs/InGaAs/INN contact structures were investigated and the InN-based emitter contacts have superior surface morphologies to the more conventional InAs layers.
Abstract: Degenerately doped (n=5×1020 cm−3) InN grown by metalorganic molecular beam epitaxy is used for emitter Ohmic contacts on GaAs/AlGaAs heterojunction bipolar transistors (HBTs). Both abrupt InN/GaAs and graded GaAs/InGaAs/InN contact structures were investigated. Although, the contact resistivity of nonalloyed Ti/Pt/Au on InN was achieved as low as 1.8×10−7 Ω cm2, formation of a thin GaN layer at the interface of the InN/GaAs system limits nonalloyed TiPtAu metallization to contact resistivities of 10−4 Ω cm2. Whereas the graded structure, GaAs/InGaAs/InN material, system produces values of 5×10−7 Ω cm2 which resulted in the formation of conductive InN from nitridation of InAs instead of the GaN layer for the InN/GaAs system. The InN‐based emitter contacts have superior surface morphologies to the more conventional InAs layers. The C‐doped base (p=7×1019 cm−3) HBTs utilizing 2×5 μm2 InN‐based emitter contacts is demonstrated with dc gains of 35.

Journal ArticleDOI
TL;DR: In this paper, a thin film AlN has been grown on Al2O3 and GaAs substrates by metalorganic molecular beam epitaxy using amine-bonded alane precursors and either tertiarybutylamine or nitrogen from a compact electron cyclotron resonance (ECR) plasma source operating at 2.45 GHz.
Abstract: Thin film AlN has been grown on Al2O3 and GaAs substrates by metalorganic molecular beam epitaxy using amine bonded alane precursors and either tertiarybutylamine or nitrogen from a compact electron cyclotron resonance (ECR) plasma source operating at 2.45 GHz. Typical growth pressures were in the 0.5–1×10−4 Torr range. The growth rates, impurity backgrounds, and surface morphologies were examined for both nitrogen sources and both the solid and liquid alanes. In general, growth efficiencies were good for both alane precursors, allowing for deposition of the low temperature, ∼400 °C, AlN buffers needed for subsequent growth of GaN and InGaAlN alloys. Low growth temperatures could not be obtained using tertiarybutylamine, presumably due to poor decomposition efficiency of the source at low temperatures. The structural quality of material grown at high temperatures from the ECR plasma was measured by atomic force microscopy, high resolution x‐ray diffraction, and transmission electron microscopy, indicating...

Journal ArticleDOI
TL;DR: In this paper, the etch rates of GaN, InN, and AlN were reported as a function of pressure, microwave power, and radio frequency (RF) power in a Cl2/H2/CH4/Ar plasma at 170°C.
Abstract: Electron cyclotron resonance etch rates of GaN, InN, and AlN are reported as a function of pressure, microwave power, and radio‐frequency (rf) power in a Cl2/H2/CH4/Ar plasma at 170 °C. The etch rates for GaN and InN increase as a function of rf power. At 275 W, the etch rates reach maximum values of 2850 and 3840 A/min, respectively. These are the highest etch rates reported for these materials. As a function of pressure, the etch rates reach a maximum value at 2 mTorr and then decrease as the pressure is increased to 10 mTorr. The GaN and AlN etch rates increase less than a factor of 2 as the microwave power is increased from 125 to 850 W whereas the InN etch rate increases by more than a factor of 3.5. The maximum etch rate for AlN obtained in this study is 1245 A/min at a microwave power of 850 W, 1 mTorr pressure, and 225 W rf power. Atomic force microscopy is used to determine root‐mean‐square roughness as a function of etch conditions for GaN and InN and, while very smooth pattern transfer can be o...

Journal ArticleDOI
TL;DR: In this article, a carbon-doped base GaAs AlGaAs HBT is grown under conditions where large concentrations of hydrogen are incorporated in the base region, post-growth in situ or ex situ annealing eliminates this effect by breaking up the CH complexes.
Abstract: At current densities ≥ 5 × 10 4 A cm −2 carbon-doped base GaAs AlGaAs HBTs can display decreases in d.c. current gain which are correlated with the amount of hydrogen incorporated in the base layer during growth by Metalorganic Molecular Beam Epitaxy (MOMBE). During device operation, minority carrier injection-induced debonding of hydrogen from neutral CH complexes leads to an increase in effective base doping level and therefore to a decrease in current gain. In structures grown under conditions where large concentrations of hydrogen are incorporated in the base region, post-growth in situ or ex situ annealing eliminates this effect by breaking up the CH complexes. Properly designed HBTs are stable for >90 h even for very high collector current densities (10 5 A cm −2 ).

Journal ArticleDOI
TL;DR: In this article, the authors showed that InGaAs and InP exposed to N 2 or Ar ECR plasmas show reduced photoluminescence intensity, depending on both the microwave power level and any additional r.f. power applied to increase the energy of ions in the discharge.
Abstract: InGaAs and InP exposed to N 2 or Ar ECR plasmas show reduced photoluminescence intensity. The extent of the degradation depends on both the microwave power level and any additional r.f. power applied to increase the energy of ions in the discharge. Annealing at 200°C restores approx. one-third of the initial luminescence efficiency in both materials, but HF removal of the native oxide increases the PL intensity to ∼80% in InGaAs and ∼40% in InP. The mechanism for the degraded optical output from these materials is creation of both interface and bulk states. The latter are present to depths of ≥200 A and are more prevalent in InP. Passivation of the surfaces using (NH 4 ) 2 S treatment completely restores the PL intensity in InGaAs, but not in InP. ECR deposition of SiN x shows excellent conformity around the gate contact of submicron high electron mobility transistors, and an absence of the rabbit-ears typically present with conventional PECVD.

Journal ArticleDOI
TL;DR: In this paper, dry etching chemistries that are found to provide smooth anisotropic pattern transfer in wide gap nitrides GaN, AIN and InN and their ternary alloys are reviewed.
Abstract: The wide-gap nitrides GaN, AIN and InN and their ternary alloys are attracting interest for blue-UV emitters, high temperature electronics and as passivation films for other semiconductors. We review the dry etching chemistries that are found to provide smooth anisotropic pattern transfer in these materials, namely Cl 2 H 2 , BCl 3 or CH 4 H 2 for Al x Ga 1− x N alloys and CH 4 H 2 for In x Ga 1− x N alloys. Microwave enhancement of the discharge is useful for increasing the etch rate at fixed d.c. self-bias. Ar + ion milling rates for the nitrides are typically a factor of 2 lower than for conventional III-Vs such as GaAs and InP. Implant isolation of In x Ga 1− x N shows similar characteristics to GaAs, namely a several orders of magnitude increase in resistance after implantation with moderate doses of F + or O + , followed by a further increase with annealing temperature up to about 500°C as hopping conduction is decreased. Minimal diffusion of most implanted dopants is found up to annealing temperatures of 800°C. Prospects for other process modules, especially wet chemical etching and ohmic contacts, will be discussed.

Journal ArticleDOI
TL;DR: In this article, changes in sheet resistance of n and p-type InGaP exposed to electron cyclotron resonance Ar plasmas have been used to measure the introduction of ion-induced damage.
Abstract: Changes in sheet resistance of n‐ and p‐type InGaP exposed to electron cyclotron resonance Ar plasmas have been used to measure the introduction of ion‐induced damage. p‐type material is much more resistant to change in its conductivity than n‐type InGaP, indicating that electron traps are the predominant entity produced by the ion bombardment. For short (∼1 min) plasma exposures the ion current is more important than ion energy in producing resistance changes. Annealing of damage in both conductivity types occurs with an activation energy of ∼3.4±0.5 eV.

Journal ArticleDOI
TL;DR: AlGaAs GaAs ridge waveguides with fundamental mode attenuation ≤ 1 dBcm−1 at a wavelength of 1.32 μm and channel widths of 4-4.5 μm are realized by ECR (Electron Cyclotron Resonance) plasma etching in BCl3/Cl2/Ar/N2 chemistries as discussed by the authors.
Abstract: AlGaAs GaAs ridge waveguides with fundamental mode attenuation ≤ 1 dBcm−1 at a wavelength of 1.32 μm and channel widths of 4–4.5 μm are realized by ECR (Electron Cyclotron Resonance) plasma etching in BCl3/Cl2/Ar/N2 chemistries. The choice of both plasma chemistry and initial mask scheme (single layer photoresist or trilevel resist) has a significant effect on the attenuation losses.

Journal ArticleDOI
TL;DR: In this paper, electron cyclotron resonance (ECR) plasma etching of GaAs, AlGaAs, and GaSb in Cl2/Ar or BCl3/Ar discharges is performed down to −30 C substrate temperature and characterized in terms of rate, anisotropy, damage introduction and near surface stoichiometry.
Abstract: Electron cyclotron resonance (ECR) plasma etching of GaAs, AlGaAs, and GaSb in Cl2/Ar or BCl3/Ar, and of InP in CH4/H2/Ar discharges is performed down to −30 °C substrate temperature and characterized in terms of rate, anisotropy, damage introduction and near‐surface stoichiometry. Below −10 °C the vertical etch rates of all these semiconductors decrease due to reduced volatility of the products, and there is suppression of lateral etching with chlorine‐based mixtures, but no change in anisotropy of CH4/H2/Ar etched features. Etching of typical dielectrics (SiNx,SiO2) and metals (W) used in bipolar or field effect transistors in SF6/Ar discharges was also characterized, and for substrate temperatures below −20 °C increased concentrations of fluorine‐containing residues are found on the exposed semiconductor surface after removal of the dielectric or metal. These can be removed by a 500 W microwave ECR H2 plasma with low additional (−25 V) dc bias on the sample. The effect of an additional lower collimatin...

Journal ArticleDOI
TL;DR: In this paper, the etch rates of GaN in Cl{sub 2}/H{sub 6}/Ar and BCl{sub 3}/SF{sub 7]/Ar discharges were investigated.
Abstract: Electron cyclotron resonance (ECR) etching of GaN in Cl{sub 2}/H{sub 2}/Ar, C1{sub 2}/SF{sub 6}/Ar, BCl{sub 3}/H{sub 2}/Ar and BCl{sub 3}/SF{sub 6}/Ar plasmas is reported as a function of percent H{sub 2} and SF{sub 6}. GaN etch rates were found to be 2 to 3 times greater in Cl{sub 2}/H{sub 2}/Ar discharges than in BCl{sub 3}/H{sub 2}/Ar discharges independent of the H{sub 2} concentration. In both discharges, the etch rates decreased as the H{sub 2} concentration increased above 10%. When SF{sub 6} was substituted for H{sub 2}, the GaN etch rates in BCl{sub 3}-based plasmas were greater than those for the Cl{sub 2}-based discharges as the SF{sub 6} concentration increased. GaN etch rates were greater in Cl{sub 2}/H{sub 2}/Ar discharges as compared to Cl{sub 2}SF{sub 6}/Ar discharges whereas the opposite trend was observed for BCl{sub 3}-based discharges. Variations in surface morphology and near-surface stoichiometry due to plasma chemistries were also investigated using atomic force microscopy and Auger spectroscopy, respectively.

Journal ArticleDOI
TL;DR: In this paper, a sacrificial Ti layer on electron-beam deposited AuBe ohmic metallization to p-type GaAs is shown to prevent oxidation of Be that segregates to the surface of the AuBe during its deposition.
Abstract: A sacrificial Ti layer on electron‐beam deposited AuBe ohmic metallization to p‐type GaAs is shown to prevent oxidation of Be that segregates to the surface of the AuBe during its deposition. In the absence of this Ti layer, the oxidation of the surface Be upon removal from the vacuum chamber creates a current blocking layer on the overlayer contact. For nonalloyed ohmic contacts to p‐GaAs, Ti/Pt/Au metallization is found to be superior to Ti/Ag/Au because of its thermal stability and the fact that Au–Ag alloys have higher resistivities than either of the pure component metals. For the sputtered refractory metals, surface displacement damage to GaAs during sputter deposition of W or WSix can be prevented by protecting the semiconductor with a thin (400 A) Ti layer. This is particularly relevant for heterojunction bipolar transistors and other devices that cannot be annealed at the high temperatures (800 °C) needed to remove displacement damage.

Journal ArticleDOI
TL;DR: In this paper, the ion energy during electron cyclotron resonance (ECR) plasma hydrogenation was found to have a strong effect on both the effective diffusivity and solubility of hydrogen in n+ and p+ GaAs.
Abstract: The ion energy during electron cyclotron resonance (ECR) plasma hydrogenation is found to have a strong effect on both the effective diffusivity and solubility of hydrogen in n+ and p+ GaAs. For fixed plasma exposure conditions (30 min, 250°C) the diffusion depths for –150 V acceleration voltage are ~50 and ~100% larger, respectively, in p+- and n+-GaAs compared to 0 V acceleration voltage. The smaller incorporation depths at lower ion energy coincide with much larger peak hydrogen concentrations and higher apparent thermal stability of passivated dopants.

Journal ArticleDOI
TL;DR: In this paper, a self-aligned processing of high efficiency power heterojunction bipolar transistors (HBTs) using implant isolation, selective wet and dry etching for mesa formation, plasma-enhanced chemical vapor deposited SiN x for sidewall spacers and through-wafer via connections is reported.
Abstract: Self-aligned processing of high efficiency power heterojunction bipolar transistors (HBTs) using implant isolation, selective wet and dry etching for mesa formation, plasma-enhanced chemical vapor deposited SiN x for sidewall spacers and through-wafer via connections is reported. GaAs AlGaAs and GaAs InGaP HBTs were grown by Metal Organic Molecular Beam Epitaxy utilizing carbon for high, well-confined base doping and tin for n -type doping to reduce emitter contact resistance. GaAs AlGaAs HBTs with twelve 2 × 15 μ m 2 double-emitter finger devices produced power-added efficiencies of 63%, power gain of 10 dB and output power of 1.7 W at 4 GHz. The results of power measurements at 4–12 GHz for GaAs InGaP HBTs with common emitter and common base configuration are also reported.

Journal ArticleDOI
TL;DR: In this article, deuterium concentrations of ≥ 1021 cm-3 can be introduced into epitaxial InAlN and InAlGaN by plasma exposure at 250°C.
Abstract: Deuterium concentrations of ≥ 1021 cm-3 can be introduced into epitaxial InAlN and InAlGaN by plasma exposure at 250°C. This produces a decrease of approximately a factor of 10 in the n-type carrier concentration in these materials, but can be reversed by annealing at ~500°C. Reactivation occurs with an apparent activation energy of ~2.4 eV. Annealing at 900°C is required to remove > 90% of the deuterium from both nitride materials.


Journal ArticleDOI
TL;DR: In this article, it was shown that hydrogen plasma treatment leads to a considerable (an order of magnitude or more) decrease in reverse currents of Schottky and mesa diodes on InGaAlP and InGaP.
Abstract: It is shown that hydrogen plasma treatment leads to a considerable (an order of magnitude or more) decrease in reverse currents of Schottky diodes and mesa diodes on InGaAlP and InGaP. The efficiency of this process is higher when the treatment is done in a crossed-beams plasma source minimizing surface damage.

Journal ArticleDOI
TL;DR: In this article, it was shown that both acceptors and donors can be passivated by hydrogen in InGaP and InGaAlP and that high resistivity (about 103 Ω cm) layers of p-InGaAlp and of p -InGaP can be prepared by hydrogen plasma treatment at 250°C.
Abstract: It is shown that both acceptors and donors can be passivated by hydrogen in InGaP and InGaAlP and that high-resistivity (about 103 Ω cm) layers of p-InGaAlP and of p-InGaP can be prepared by hydrogen plasma treatment at 250°C. Proton implantation with energy 100 keV is shown to create high-resistivity layers (104–105 Ω cm) both in n and in type InGaP and InGaAlP.