scispace - formally typeset
Search or ask a question

Showing papers by "Stephen J. Pearton published in 1997"


Journal ArticleDOI
TL;DR: In this article, a survey of the current state-of-the-art in the area of ohmic contact materials and properties to GaAs, InP, and GaN is presented along with critical issues pertaining to each semiconductor material.

243 citations


Journal ArticleDOI
TL;DR: In this article, inductively coupled plasma (ICP) etching of GaAs, GaP, and InP is reported as a function of plasma chemistry, chamber pressure, rf power, and source power.
Abstract: Inductively coupled plasma (ICP) etching of GaAs, GaP, and InP is reported as a function of plasma chemistry, chamber pressure, rf power, and source power. Etches were characterized in terms of rate and anisotropy using scanning electron microscopy, and root-mean-square surface roughness using atomic force microscopy. ICP etch rates were compared to electron cyclotron resonance etch rates for Cl2/Ar, Cl2/N2, BCl3/Ar, and BCl3/N2 plasmas under similar plasma conditions. High GaAs and GaP etch rates (exceeding 1500 nm/min) were obtained in Cl2-based plasmas due to the high concentration of reactive Cl neutrals and ions generated as compared to BCl3-based plasmas. InP etch rates were much slower and independent of plasma chemistry due to the low volatility of the InClx etch products. The surface morphology for all three materials was smooth over a wide range of etch conditions.

125 citations


Journal ArticleDOI
TL;DR: It is found that Si implantation inGaN can achieve 50% activation at a dose of 1×1016 cm-2, despite significant residual damage after the 1100 °C activation anneal, which suggests that activation of implanted Si donors in GaN doses not require complete damage removal.
Abstract: For the development of ion implantation processes for GaN to advanced devices, it is important to understand the dose dependence of impurity activation along with implantation-induced damage generation and removal. We find that Si implantation in GaN can achieve 50% activation at a dose of 1×1016 cm-2, despite significant residual damage after the 1100 °C activation anneal. The possibility that the generated free carriers are due to implantation damage alone and not Si-donor activation is ruled out by comparing the Si results to those for implantation of the neutral species Ar. Ion channeling and cross-sectional transmission electron microscopy are used to characterize the implantation-induced damage both as implanted and after a 1100 °C anneal. Both techniques confirm that significant damage remains after the anneal, which suggests that activation of implanted Si donors in GaN doses not require complete damage removal. However, an improved annealing process may be needed to further optimize the transport properties of implanted regions in GaN. © 1997 American Institute of Physics.

104 citations


Journal ArticleDOI
TL;DR: The addition of GaN, A1N, InN, and related alloys to the family of device-quality semiconductors has opened up new opportunities in short-wavelength (visible and ultraviolet [uv]) photonic devices for display and data-storage applications, solar-blind uv detectors, and high-temperature/high power electronics.
Abstract: The addition of GaN, A1N, InN, and related alloys to the family of device-quality semiconductors has opened up new opportunities in short-wavelength (visible and ultraviolet [uv]) photonic devices for display and data-storage applications, solar-blind uv detectors, and high-temperature/high-power electronics. Silicon will of course continue to dominate in microelectronics applications, and InP and GaAs and their related alloys will be the mainstays of long-wavelength lightwave communication systems and red, orange, and yellow light-emitting-diode (LED) technology, respectively. There are however many existing and emerging uses for wide-bandgap semiconductors with good electrical and optical characteristics. The purpose of this issue of MRS Bulletin is to furnish a background and summary on the exciting new developments involving GaN and related materials.Strong efforts on the synthesis and device aspects of GaN took place in the 1960s and 1970s because of the potential for realization of blue lasers and LEDs that would extend the existing wavelength range of photonic devices. Progress was hampered because of several severe materials problems. First there was no bulk crystal growth technology for producing substrates, and epitaxial material was grown on highly lattice-mismatched substrates such as sapphire. This heteroepitaxial material was invariably highly conducting because of residual shallow donor defects or impurities. These high n-type backgrounds, combined with the relatively deep ionization levels of all of the common p-type dopant impurities, prevented the achievement of p-type doping and therefore of bipolar or injection devices.

81 citations


Journal ArticleDOI
TL;DR: Wet chemical etching of GaN, InN, Aln, InAlN, and InGaN was investigated in various acid and base solutions at temperatures up to 75°C as discussed by the authors.
Abstract: Wet chemical etching of GaN, InN, AlN, InAlN and InGaN was investigated in various acid and base solutions at temperatures up to 75°C. Only KOH-based solutions were found to etch AlN and InAlN. No enchants were found for the other nitrides, emphasizing their extreme lack of chemical reactivity. The native oxide on most of the nitrides could be removed in potassium tetraborate at 75°C, or HCl H 2 O at 25°C.

72 citations


Journal ArticleDOI
TL;DR: In this article, the etch selectivities of thin metallic multilayer structures are investigated for the development of sensitive magnetic field sensors and memory devices based on spin-valve giant magnetoresistance elements.
Abstract: Wet and dry etching of thin metallic multilayer structures is necessary for the development of sensitive magnetic field sensors and memory devices based on spin–valve giant magnetoresistance elements. While it is well established that Cu, Co, and Fe are soluble in HNO3 and H3PO4 at room temperature, little effort has been made to investigate selective wet and dry etch chemistries. For example, we find Ag is not etched in H2SO4, HCl, or H3PO4 under conditions where etch rates for the other metals are in the range of 2000–60 000 A/min. Electron cyclotron resonance (ECR) SF6/Ar plasmas provide etch selectivities of ⩾5:1 for Ag over Cu, Co, and Fe, while lower selectivities are obtained with CH4/H2/Ar. Cl2-based plasma chemistries leave significant metal–chlorine surface residues, which can be removed in situ by low ion energy H2 or Ar plasma treatments that eliminate corrosion problems. Cu etch rates in excess of 3000 A/min at 25 °C can be obtained in ECR Cl2/Ar discharges because the high ion flux prevents ...

59 citations


Journal ArticleDOI
TL;DR: In this paper, the ion-induced damage is evident from Hall measurements for SiC exposed to rf powers >150 W (dc bias >−170 V) under electron cyclotron resonance (ECR) NF3 or Cl2/Ar discharges with low additional rf chuck powers (100-150 W); dc bias of −120 to −170 V.
Abstract: SiC has generally been plasma etched in polymer-forming chemistries such as CHF3/O2 or CF4/O2, often with addition of H2 to achieve acceptable surface morphologies. We find that under high ion density conditions gases such as SF6, NF3, IBr, and Cl2 produce smooth surfaces that are free of hydrogen passivation effects. Etch rates in excess of 1500 A/min are achieved in electron cyclotron resonance (ECR) NF3 or Cl2/Ar discharges with low additional rf chuck powers (100–150 W); dc bias of −120 to −170 V. The rates are somewhat lower (factors of 2–4) with IBr and SF6 chemistries. Ion-induced damage is evident from Hall measurements for SiC exposed to rf powers >150 W (dc bias >−170 V) under ECR conditions and >250 W (dc bias >−275) under reactive ion etch conditions. Efforts to anneal damage at these higher powers reveals a major annealing stage is evident at ∼700 °C, with an activation energy of ∼3.4 eV, but there is significant damage remaining even after 1050 °C annealing. Hydrogen passivation is a problem...

56 citations


Journal ArticleDOI
TL;DR: In this paper, a photoluminescence (PL) study of Er-doped AlN epilayer on sapphire substrate was presented, which showed that Er3+ is excited through a photo-carrier mediated process.

39 citations


Journal ArticleDOI
TL;DR: In this article, the conductivity of the immediate InAlN or GaN surface can be increased by preferential loss of N during BCl3 plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface.
Abstract: Dry etched InAlN and GaN surfaces have been characterized by current-voltage measurement, Auger electron spectroscopy, and atomic force microscopy. Electron cyclotron resonance discharges of BCl3. BCl3/Ar, BCl3/N2, or BCl3/N2 plus wet chemical etch all produce nitrogen surfaces that promote leakage current in rectifying gate contacts, with the BCl3/N2 plus wet chemical etch producing the least disruption on the surface properties. The conductivity of the immediate InAlN or GaN surface can be increased by preferential loss of N during BCl3 plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface. Careful control of plasma chemistry, ion energy, and stoichiometry of the etched surface are necessary for acceptable pinch-off characteristics. Hydrogen passivation during the etch was also studied.

38 citations


Journal ArticleDOI
TL;DR: Si, Mg and C were implanted in undoped semi-insulating layers of Al x Ga 1− x N with x = 0.12 as mentioned in this paper, achieving an average electron concentration of 1.2 × 10 18 cm −3.
Abstract: Si, Mg and C were implanted in undoped semi-insulating layers of Al x Ga 1− x N with x =0.12. Activation of implanted Si occurred after annealing at 1140°C in ammonia with subsequent anneal at 800°C in nitrogen. For a dose of 5 × 10 14 cm −2 an average electron concentration of 1.2 × 10 18 cm −3 was achieved. Implantation and annealing of Mg and C resulted in very highly resistive AlGaN layers for all annealing conditions, with no evidence of shallow acceptor activation. Proton implantation into initially conducting AlGaN produced highly resistive material suitable for electrical device isolation.

34 citations


Journal ArticleDOI
TL;DR: In this article, room-temperature Er3+ photoluminescence increases of a factor of 5 were observed for AlN(Er) samples treated in a 2H plasma at 200 °C for 30 min.
Abstract: Room-temperature Er3+ photoluminescence increases of a factor of 5 are observed for AlN(Er) samples treated in a 2H plasma at 200 °C for 30 min. The atomic deuterium passivates defects in the AlN, which normally provide alternative carrier recombination routes. Postdeuteration annealing at 300 °C for 20 min removes the luminescence enhancement by depassivating the nonradiative centers. The AlN(Er) provides a high degree of resistance to thermal quenching of luminescence as a function of temperature because of its wide band gap (6.2 eV), and hydrogenation is a simple method for maximizing the optical output in this materials system.

Journal ArticleDOI
TL;DR: In this article, the authors evaluated the influence of the thermally modified, near-surface crystalline quality on sputtered WSi contact to GaN and demonstrated a strong relationship between the improved GaN crystal quality and postgrowth high-temperature thermal processing.
Abstract: Microstructure of GaN films grown by metalorganic chemical-vapor-deposition (MOCVD) on c-sapphire substrates has been accessed as a function of post-growth rapid thermal annealing (RTA) temperatures from 600 °C to 800 °C. The influence of the thermally modified, near-surface crystalline quality on sputtered WSi contact to GaN was also evaluated. Similar planar defects were observed in all heat treated samples; only their density differed. Our analyses demonstrated a strong relationship between the improved GaN crystal quality and postgrowth high-temperature thermal processing. The density of the near-surface defects was lowered by 61% as the annealing temperature was raised from 600 °C to 800 °C. Depression of the near-surface defects encouraged development of the β-W2N interfacial phase and promoted metal-semiconductor interface smoothness.

Journal ArticleDOI
TL;DR: The use of high density plasma sources such as electron cyclotron resonance or inductively coupled plasma reduces ion bombardment damage except at very high ion fluxes, and allows use of hydrogen and polymer free plasma chemistries such as Cl 2 /Ar to etch all III-V compounds as mentioned in this paper.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the interfacial reactions between sputtered WSi ohmic contacts and single crystal GaN as a function of rapid annealing temperatures up to 800°C.
Abstract: Interfacial reactions between sputtered WSi ohmic contacts and single crystal GaN were investigated as a function of rapid annealing temperatures up to 800°C. For annealing temperatures ≤600°C the metal-semiconductor interface remained structurally and chemically unaltered. The β-W 2 N interfacial phase was observed after annealing at 700 and 800°C. Optimization of this phase and moderate suppression of interfacial irregularities occurred after annealing at 800°C. Our results suggest this contact metallization scheme to be a reasonable choice for high temperature electronics applications, however temperate interfacial irregularity may compromise some device designs.

Journal ArticleDOI
TL;DR: In this paper, a n + n GaN metal semiconductor field effect transistor was fabricated, with the gate recess formed by Electron Cyclotron Resonance BCl 3 N 2 dry etching.
Abstract: A n + n GaN metal semiconductor field effect transistor was fabricated, with the gate recess formed by Electron Cyclotron Resonance BCl 3 N 2 dry etching. The drain source breakdown voltage is > 20 V, and annealing at 400°C was found to be necessary after the dry etch step to produce a high gate breakdown voltage (∼ 25 V).

Journal ArticleDOI
TL;DR: The ability to form low resistance Ohmic contacts to InAlN using refractory metallization on In-containing contact layers has been investigated in this paper, where the crystal quality as measured by surface roughness and x-ray diffraction was found to be a determining factor in the contact resistance which could be obtained using W6 contacts.
Abstract: The ability to form low resistance Ohmic contacts to InAlN using refractory metallization on In-containing contact layers has been investigated. The crystal quality as measured by surface roughness and x-ray diffraction was found to be a determining factor in the contact resistance which could be obtained using WSix contacts. InN growth conditions which resulted in poorer structural quality, such as the use of GaAs rather than sapphire substrates, low nitrogen flows, and low growth temperatures, resulted in contact resistances of 10−4–10−3 Ω cm2, as compared to ∼10−5 cm2 for InAlN alone. Using optimized conditions, contact resistances to InAlN of ∼3.5×10−6 Ω cm2 were obtained.

Journal ArticleDOI
TL;DR: In this article, solid source Er doping of AlN and GaN during growth by plasma-assisted metalorganic molecular beam epitaxy (MOMBE) with an Er effusion source has resulted in room-temperature 1.54 μm photoluminescence (PL).

01 Jul 1997
TL;DR: In this paper, the authors present a Symposium on new boule growth techniques for ultra-high-purity Si and widebandgap materials; CVD and epitaxial techniques for powermore materials; high power/high-temperature device structures; advanced wafer-scale thermal management; simulation tools specific to high-power devices; advanced processing techniques; and packaging/testing at high currents/temperatures.
Abstract: Innovative silicon concepts and nonsilicon materials such as SiC, diamond and group-III nitrides are finding interest for new generations of electronic devices operational at much higher voltages and temperatures than conventional lower-power transistors and integrated circuits. Improved bulk and epitaxial growth, processing, device design and circuit architecture, bonding, testing and packaging are all necessary for realization of new applications. It seems clear from the symposium that Si will continue to dominate most power electronics applications for the next decade, while SiC is by far the most mature of the newer materials technologies. The group-III nitrides are also extremely attractive because of their excellent transport properties and the availability of heterostructures. It is likely that hybrid GaN/SiC devices will have a role due to the need for high thermal conductivity substrates for thermal management. Diamond appears to be trailing because of the inability to dope with donor impurities, although in principle, its properties are probably better suited to high-temperature applications than many other materials. Summaries of these topics are provided by invited review papers, while contributed and poster papers describe work in progress. Topics include: new boule growth techniques for ultra-high-purity Si and wide-bandgap materials; CVD and epitaxial techniques for powermore » materials; high-power/high-temperature device structures; advanced wafer-scale thermal management; simulation tools specific to high-power devices; advanced processing techniques; and packaging/testing at high currents/temperatures.« less

Book ChapterDOI
01 Jan 1997
TL;DR: In this paper, the hole-compensation mechanism of p-type A1GaN has been elucidated and high-brightness blue and blue-green light emitting diodes (LEDs) with a luminous intensity of 2 cd have been fabricated using these techniques and are now commercially available.
Abstract: As discussed in previous sections, recent research on III-V nitrides has paved the way for the realization of high-quality crystals of A1GaN and InGaN, and p-type conduction in AlGaN. The hole-compensation mechanism of p-type A1GaN has also been elucidated. High-brightness blue and blue-green light emitting diodes (LEDs) with a luminous intensity of 2 cd have been fabricated using these techniques and are now commercially available. In order to obtain blue and blue-green emission centers in these InGaN/A1GaN double-heterostructure (DH) LEDs, Zn doping of the InGaN active layer was performed. Although these InGaN/A1GaN DH LEDs produce a high-power light output in the blue and blue-green region with a broad emission spectrum (full width at half-maximum (FWHM = 70 nm), green or yellow LEDs with a peak wavelength longer than 500 nm have not been fabricated. The longest peak wavelength of the electroluminescence (EL) of InGaN/A1GaN DH LEDs achieved thus far is 500 nm (blue-green) because the crystal quality of the InGaN active layer of DH LEDs becomes poor when the indium mole fraction is increased to obtain a green band-edge emission. On the other hand, in conventional green GaP LEDs the external quantum efficiency is only 0.1% due to the indirect band-gap and the peak wavelength is 555 nm (yellowish green) [304]. As another material for green emission devices, AllnGaP has been used. The present performance of green AlInGaP LEDs is an emission wavelength of 570 nm (yellowish green) and maximum external quantum efficiency of 1% [304]. When the emission wavelength is reduced to the green region, the external quantum efficiency drops sharply because the band structure of AlInGaP approaches an indirect transition. Therefore, high-brightness pure green LEDs, which have a high efficiency of above 1% at the peak wavelength of 510–530 nm with a narrow FWHM, are not yet commercially available.

Journal ArticleDOI
TL;DR: In this article, inductively coupled plasma (ICP) etching of GaN, AlN, InN, N, InGaN, and InAlN was investigated in CH{sub 4/H{sub 2}/Ar and Ch{sub 3/N{sub 1/N} plasmas as a function of dc bias and ICP power.
Abstract: Inductively coupled plasma (ICP) etching of GaN, AlN, InN, InGaN, and InAlN was investigated in CH{sub 4}/H{sub 2}/Ar and Ch{sub 4}/H{sub 2}/N{sub 2} plasmas as a function of dc bias, ICP power, and pressure. The etch rates were generally quite low, as is common for III-nitrides in CH{sub 4}-based chemistries. In CH{sub 4}/H{sub 2}/Ar plasmas, the etch rates increased with increasing dc bias. At low radio frequency power (150 W), the etch rates increased with increasing ICP power, while at 350 W radio frequency power, a peak was found between 500 and 750 W ICP power. The dc bias was found to increase with increasing pressure. The etch rates in the CH{sub 4}/H{sub 2}/N{sub 2} chemistry were significantly lower, with a peak at 500 W ICP power. The etched surfaces were smooth, while selectivities of etch were {le} 6 for InN over GaN, AlN, InGaN, and InAlN under all conditions.

Journal ArticleDOI
TL;DR: In this paper, the dc current gain and emitter and base sheet resistance of C-doped GaAs/AlGaAs heterojunction bipolar transistors (HBTs) have been used to measure damage introduced by exposure to Ar inductively coupled plasmas (ICP).
Abstract: The dc current gain and emitter and base sheet resistance of C-doped GaAs/AlGaAs heterojunction bipolar transistors (HBTs) have been used to measure damage introduced by exposure to Ar inductively coupled plasmas (ICP). As the ICP source power is increased at fixed rf chuck power, the damage-induced changes in device characteristics are reduced due to a reduction in ion energy. Beyond a particular ICP source power (∼1000 W for 50 W rf chuck power), the damage increases due to the increase in ion flux, even though the ion energy is low (<30 eV). These results are a clear demonstration of the advantage of high ion density plasmas for pattern transfer in damage-sensitive minority carrier devices such as HBTs.

Journal ArticleDOI
TL;DR: In this article, anisotropic dry etches for GaN, InN, AlN and their alloy based on Cl2/CH4/H2/Ar, BCl 3 Ar, Cl 2 H 2, Cl 2 SF 6, HBr H 2 and HI H 2 plasma chemistries achieving etch rates up to ∼4000 A/min at moderate d.c. bias voltages (≤ − 150 V).
Abstract: The chemical inertness and high bond strengths of the III–V nitrides lead to slower plasma etching rates than for more conventional III–V semiconductors under the same conditions. High ion density conditions (>3 × 1011 cm−3 such as those obtained in ECR or magnetron reactors produce etch rates up to an order of magnitude higher than for RIE, where the ion densities are in the 109 cm−3 range. We have developed smooth anisotropic dry etches for GaN, InN, AlN and their alloy based on Cl2/CH4/H2/Ar, BCl 3 Ar , Cl 2 H 2 , Cl 2 SF 6 , HBr H 2 and HI H 2 plasma chemistries achieving etch rates up to ∼4000 A/min at moderate d.c. bias voltages (≤ − 150 V). Ion-induced damage in the nitrides appears to be less apparent than in other III-Vs. One of the key remaining issues is the achievement of high selectivities for removal of one layer from another.

Journal ArticleDOI
TL;DR: In this article, the key processing needs for fabrication of next-generation electronic and photonic devices from III-V semiconducctors are reviewed, including high rate plasma etching capable of smooth controlled pattern transfer, selective wet etching solutions for the inGaAlP and InGaAlN materials systems and improved ohmic and rectifying contacts.
Abstract: We review some of the key processing needs for fabrication of next-generation electronic and photonic devices from III–V semiconducctors. These include high rate plasma etching capable of smooth controlled pattern transfer, selective wet etching solutions for the InGaAlP and InGaAlN materials systems and improved ohmic and rectifying contacts. The achievement of high reliability and acceptable yields requires low thermal budgets and an absence of residual damage from deposition, etch or implant processes.

Journal ArticleDOI
TL;DR: In this paper, the etch rates of both GaSb and InSb were obtained for both electron cyclotron resonance discharges in a wide range of plasma conditions (microwave power 400 - 1000 W, pressure 1.5 - 10 mTorr, to ratio 0.1 - 1.6).
Abstract: Etch rates of are obtained for both GaSb and InSb in electron cyclotron resonance discharges. The GaSb surfaces remain smooth and stoichiometric over a wide range of plasma conditions (microwave power 400 - 1000 W, pressure 1.5 - 10 mTorr, to ratio 0.1 - 1.5). However, we were unable to prevent preferential loss of Sb from InSb causing rough, non-stoichiometric surfaces under all conditions investigated. It appears that low-profile electromagnet ECR sources are not good choices for -based dry etching of InSb.

Journal ArticleDOI
TL;DR: In this paper, inductively coupled plasma (ICP) and electron cyclotron resonance (ECR) plasmas create severe reductions in MESFET mutual transconductance and reverse breakdown voltage through reductions in channel layer doping and surface stoichiometry changes.
Abstract: GaAs MESFETs may be exposed to -containing plasmas during various etch and deposition steps. We have found that both inductively coupled plasma (ICP) and electron cyclotron resonance (ECR) plasmas create severe reductions in MESFET mutual transconductance and reverse breakdown voltage through reductions in channel layer doping and surface stoichiometry changes. While changes in channel sheet resistance and diode ideality factor may be minimized by limiting the plasma exposure time, and are still reduced by up to a factor of two even for 30 s exposures. The results show that there are no conditions under which there are not substantial changes in device performance, and unless -free plasma chemistries are used, post-plasma annealing will always be necessary to restore the device characteristics.

Journal ArticleDOI
TL;DR: In this article, a number of different plasma chemistries, including NF3/O2, SF6/O 2 and SF6-O 2, were investigated for dry etching of 6H and 3C-SiC in a Inductively Coupled Plasma tool.
Abstract: A number of different plasma chemistries, including NF3/O2, SF6/O2, SF6/Ar, ICl, IBr, Cl2/Ar, BCl3/Ar and CH4/H2/Ar, have been investigated for dry etching of 6H and 3C-SiC in a Inductively Coupled Plasma tool Rates above 2,000 angstroms·cm-1 are found with fluorine-based chemistries at high ion currents Surprisingly, Cl2-based etching does not provide high rates, even though the potential etch products (SiCl4 and CCl4) are volatile Photoresist masks have poor selectivity over SiC in F2-based plasmas under normal conditions, and ITO or Ni are preferred

Journal ArticleDOI
TL;DR: In this paper, the specific contact resistances for all three metallization schemes were examined on n+In0.65Ga0.35N, InN, and In0.75Al0.4N.
Abstract: W, WSi0.44, and Ti/Al contacts were examined on n+In0.65Ga0.35N, InN, and In0.75Al0.25N. W was found to produce low specific contact resistance (ǫc∼10−7 Ω cm2) ohmic contacts to InGaN, while WSix showed an as-deposited ǫc of 4×10−7 Ω cm2 but this degraded significantly with subsequent annealing, reaching 10−5 Ω cm2 at 700 °C. Ti/Al contacts on InGaN were stable to ∼600 °C (ǫc∼4×10−7 Ω cm2 at ⩽600 °C). InN contacted with W and Ti/Al produced ohmic contacts with ǫc∼10−7 Ω cm2 and for WSix ǫc∼10−6 Ω cm2 and all three metallization schemes retained values ⩽10−6 Ω cm2 up to 600 °C. The contact resistances for all of the metals were ⩾10−4 Ω cm2 on InAlN, and degraded with subsequent annealing. WSix contacts on InN grown graded from In0.6Al0.4N were also examined. The specific contact resistance was an order of magnitude lower (ǫc∼10−5 Ω cm2) after 500 °C anneal than that measured for WSix deposited directly on In0.6Al0.4N. Measurements of the temperature dependence of these contact structures showed that field ...

Journal ArticleDOI
TL;DR: In this paper, the etch characteristics of IBr/Ar in an electron cyclotron resonance plasma discharge were measured for a new plasma chemistry, and the effects of plasma composition (12.5% to 100% IBr), microwave (400-1000 W) and rf power (50-250 W) on the etching rates for these materials were examined.
Abstract: The etch characteristics of GaN, InN, InAlN, AlN, and InGaN were measured for a new plasma chemistry, IBr/Ar, in an electron cyclotron resonance plasma discharge. The effects of plasma composition (12.5%–100% IBr), microwave (400–1000 W) and rf power (50–250 W) on the etch rates for these materials were examined. The etch rates for GaN depended strongly on plasma composition, while the etch rates were only weakly dependent on microwave power in the range 400–800 W for all materials. The etch rates for all materials generally increased with increasing rf power, indicating that higher ion energies are much more efficient in enhancing sputter desorption of the etch products. While the etch rates were slower than with either ICl/Ar or Cl2/Ar, the etched surface of GaN was found to be extremely smooth, with little loss of N from the surface at low rf powers, and no significant residue on the surface.

Journal ArticleDOI
TL;DR: In this paper, the authors compared two methods for protection against surface dissociation of GaN, AlN, InN, GaN and AlN in a SiC-coated graphite susceptor and provided a N2 overpressure for the nitride samples within the susceptor.
Abstract: High-temperature annealing is necessary in a number of applications for III-nitrides, including activation of Si+ or Mg+ implants for doping, maximization of implant-isolated regions, and Ohmic contact sintering. We have compared two methods for protection against surface dissociation of GaN, AlN, InN, InxGa1−xN, and InxAl1−xN during rapid thermal processing in N2 ambients. In the first method, AlN or InN powder is placed in the reservoirs of a SiC-coated graphite susceptor and provides a N2 overpressure for the nitride samples within the susceptor. In the second method, the nitrides are placed face down on other III–V substrates during annealing. In both techniques N loss from the nitride surface is found to occur at ⩾1050 °C for GaN and ⩾1100 °C for AlN and ⩾InN, as measured by Auger electron spectroscopy. Real surface roughening is generally significant only for the In-containing materials, with GaN and AlN retaining smooth morphologies even up to 1150 °C unless H2 is present in the annealing ambient. When InN powder is used in the susceptor, there is In droplet condensation on the surfaces of all samples above ∼750 °C leading to higher root-mean-square surface roughness measured by atomic force microscopy. The N2-deficient surfaces of the binary nitrides become strongly n type, while those of ternaries become less conducting. At temperature of 850–900°C, the In droplets on thermally degraded ternaries also begin to evaporate, leading to an apparent improvement in morphology. The presence of H2 or O2 in the annealing ambient lowers the dissociation temperature of each of the nitrides by 100–200 °C, due to an enhancement in N2 removal.High-temperature annealing is necessary in a number of applications for III-nitrides, including activation of Si+ or Mg+ implants for doping, maximization of implant-isolated regions, and Ohmic contact sintering. We have compared two methods for protection against surface dissociation of GaN, AlN, InN, InxGa1−xN, and InxAl1−xN during rapid thermal processing in N2 ambients. In the first method, AlN or InN powder is placed in the reservoirs of a SiC-coated graphite susceptor and provides a N2 overpressure for the nitride samples within the susceptor. In the second method, the nitrides are placed face down on other III–V substrates during annealing. In both techniques N loss from the nitride surface is found to occur at ⩾1050 °C for GaN and ⩾1100 °C for AlN and ⩾InN, as measured by Auger electron spectroscopy. Real surface roughening is generally significant only for the In-containing materials, with GaN and AlN retaining smooth morphologies even up to 1150 °C unless H2 is present in the annealing ambient. ...

Journal ArticleDOI
TL;DR: In this article, the use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar and Cl 2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure.
Abstract: Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000A/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.