scispace - formally typeset
T

Todd Austin

Researcher at University of Michigan

Publications -  173
Citations -  21349

Todd Austin is an academic researcher from University of Michigan. The author has contributed to research in topics: Cache & Microarchitecture. The author has an hindex of 55, co-authored 167 publications receiving 20607 citations. Previous affiliations of Todd Austin include Xerox & Oregon Health & Science University.

Papers
More filters
Proceedings ArticleDOI

MiBench: A free, commercially representative embedded benchmark suite

TL;DR: A new version of SimpleScalar that has been adapted to the ARM instruction set is used to characterize the performance of the benchmarks using configurations similar to current and next generation embedded processors.
Journal ArticleDOI

The SimpleScalar tool set, version 2.0

TL;DR: This document describes release 2.0 of the SimpleScalar tool set, a suite of free, publicly available simulation tools that offer both detailed and high-performance simulation of modern microprocessors.
Journal ArticleDOI

SimpleScalar: an infrastructure for computer system modeling

TL;DR: The SimpleScalar tool set provides an infrastructure for simulation and architectural modeling that can model a variety of platforms ranging from simple unpipelined processors to detailed dynamically scheduled microarchitectures with multiple-level memory hierarchies.
Journal ArticleDOI

Leakage current: Moore's law meets static power

TL;DR: The other source of power dissipation in microprocessors, dynamic power, arises from the repeated capacitance charge and discharge on the output of the hundreds of millions of gates in today's chips.
Proceedings ArticleDOI

Razor: a low-power pipeline based on circuit-level timing speculation

TL;DR: A solution by which the circuit can be operated even below the ‘critical’ voltage, so that no margins are required and thus more energy can be saved.