scispace - formally typeset
Search or ask a question
Author

Xu He

Bio: Xu He is an academic researcher from The Chinese University of Hong Kong. The author has contributed to research in topics: Placement & Computer science. The author has an hindex of 5, co-authored 5 publications receiving 175 citations.

Papers
More filters
Proceedings ArticleDOI
07 Nov 2011
TL;DR: Experimental results show that Ripple is very effective in improving routability and can further improve the overflow by 38% while reduce the runtime is reduced by 54%.
Abstract: In this paper, we describe a routability-driven placer called Ripple. Two major techniques called cell inflation and net-based movement are used in global placement followed by a rough legalization step to reduce congestion. Cell inflation is performed in the horizontal and the vertical directions alternatively. We propose a new method called net-based movement, in which a target position is calculated for each cell by considering the movement of a net as a whole instead of working on each cell individually. In detailed placement, we use a combination of two kinds of strategy: the traditional HPWL-driven approach and our new congestion-driven approach. Experimental results show that Ripple is very effective in improving routability. Comparing with our pervious placer, which is the winner in the ISPD 2011 Contest, Ripple can further improve the overflow by 38% while reduce the runtime is reduced by 54%.

68 citations

Proceedings ArticleDOI
29 May 2013
TL;DR: This paper presents a high quality placer Ripple 2.0 to solve the routability-driven placement problem and proposes several techniques, including lookahead routing analysis with pin density consideration, routing path-based cell inflation and spreading and robust optimization on congested cluster.
Abstract: Due to a significant mismatch between the objectives of wirelength and routing congestion, the routability issue is becoming more and more important in VLSI design. In this paper, we present a high quality placer Ripple 2.0 to solve the routability-driven placement problem. We will study how to make use of the routing path information in cell spreading and relieve congestion with tangled logic in detail. Several techniques are proposed, including (1) lookahead routing analysis with pin density consideration, (2) routing path-based cell inflation and spreading and (3) robust optimization on congested cluster. With the official evaluation protocol, Ripple 2.0 outperforms the top contestants on the ICCAD 2012 Contest benchmark suite.

51 citations

Proceedings ArticleDOI
30 Mar 2014
TL;DR: This paper proposes a detailed placement algorithm for minimizing wire-length, while preserving the global placement solution by cell displacement constraint and target cell density objective.
Abstract: Modern placement process involves global placement, legalization, and detailed placement. Global placement produce a placement solution with minimized target objective, which is usually wire-length, routability, timing, etc. Legalization removes cell overlap and aligns the cells to the placement sites. Detailed placement further improves the solution by relocating cells. Since target objectives like wire-length and timing are optimized in global placement, legalization and detailed placement should not only minimize their own objectives but also preserve the global placement solution. In this paper, we propose a detailed placement algorithm for minimizing wire-length, while preserving the global placement solution by cell displacement constraint and target cell density objective. Our detailed placer involves two steps: Global Move that allocates each cell into a bin/region that minimizes wire-length, while not overflowing the target cell density. Local Move that finely adjust the cell locations in local regions to further minimize the wire-length objective. With large-scale benchmarks from ICCAD 2013 detailed placement contest, the results show that our detailed placer, RippleDP, can improve the global placement results by 13.38% - 16.41% on average under displacement constraint and target placement density objective.

48 citations

Journal ArticleDOI
TL;DR: A routability-driven placer called Ripple is described, which can obtain the smallest overflow and half-perimeter wirelength on average, while the congestion hot spots are also distributed sparsely in Ripple.
Abstract: The significant mismatch between the objective of wirelength and routing congestion makes the routability issue even more important in placement. In this paper, we describe a routability-driven placer called Ripple. Each step, including global placement, legalization, and detailed placement, is made to trade-off between routability and wirelength. We propose a robust and effective flow by using cell inflation to relieve routing congestion. Cell inflation has traditionally been used to deal with congestion and we will discuss how this technique can be used easily and robustly in the global placement. Besides, unlike many previous works that focus on different types of swapping strategies, we analyze and propose some simple and effective approaches when considering routability in the legalization and detailed placement steps. Experimental results show that Ripple is particularly effective in improving routability. When compared to the top results in the ISPD 2011 Contest and SimPLR, Ripple can obtain the smallest overflow and half-perimeter wirelength on average, while the congestion hot spots are also distributed sparsely in Ripple.

22 citations

Proceedings ArticleDOI
24 Mar 2013
TL;DR: An effective simultaneous routing and placement refinement tool called SRP is proposed for routability improvement, independent of any placer and global router, and can reduce the overflow effectively.
Abstract: In this paper, an effective simultaneous routing and placement refinement tool called SRP is proposed for routability improvement. SRP is independent of any placer and global router. Based on a given placement layout and global routing result, SRP relocates problematic cells by considering routing and placement simultaneously. Not only overflow from local nets, but overflow from global and semi-global nets can be solved by SRP. A cell will be relocated and its associated nets will be rerouted if its connections go across any congested region, even if the cell is not in the congested region. Therefore, our method can reduce the overflow effectively. Given the layouts generated by the top four routability-driven placers in the DAC Contest 2012, our method can still reduce the total overflow by 32.6% in average while the routed wirelength and HPWL are not increased obviously.

10 citations


Cited by
More filters
Proceedings ArticleDOI
29 Mar 2015
TL;DR: The ISPD~2015 placement-contest benchmarks include all the detailed pin, cell, and wire geometry constraints from the 2014 release, plus added fence regions and placement blockages and specified upper limits on local cell-area density.
Abstract: The ISPD~2015 placement-contest benchmarks include all the detailed pin, cell, and wire geometry constraints from the 2014 release, plus(a) added fence regions and placement blockages,(b) altered netlists including fixed macro blocks,(c) reduced standard cell area utilization via larger floorplan outlines, and(d)] specified upper limits on local cell-area density.Compared to the 2014 release, these new constraints add realism and increase the difficulty of producing detail-routable wirelength-driven placements.

114 citations

Journal ArticleDOI
TL;DR: RePlAce is the first work to achieve superior solution quality across all the IS PD-2005, ISPD-2006, MMS, DAC-2012, and ICCAD-2012 benchmark suites with a single global placement engine.
Abstract: The Nesterov’s method approach to analytic placement has recently demonstrated strong solution quality and scalability. We dissect the previous implementation strategy and show that solution quality can be significantly improved using two levers: 1) constraint-oriented local smoothing and 2) dynamic step size adaptation. We propose a new density function that comprehends local overflow of area resources; this enables a constraint-oriented local smoothing at per-bin granularity. Our improved dynamic step size adaptation automatically determines step size and effectively allocates optimization effort to significantly improve solution quality without undue runtime impact. Our resulting global placement tool, RePlAce, achieves an average of 2.00% half-perimeter wirelength (HPWL) reduction over all best known ISPD-2005 and ISPD-2006 benchmark results, and an average of 2.73% over all best known modern mixed-size (MMS) benchmark results, without any benchmark-specific code or tuning. We further extend our global placer to address routability, and achieve on average 8.50%–9.59% scaled HPWL reduction over previous leading academic placers for the DAC-2012 and ICCAD-2012 benchmark suites. To our knowledge, RePlAce is the first work to achieve superior solution quality across all the ISPD-2005, ISPD-2006, MMS, DAC-2012, and ICCAD-2012 benchmark suites with a single global placement engine.

100 citations

Proceedings ArticleDOI
Natarajan Viswanathan1, Charles J. Alpert1, Cliff Sze1, Zhuo Li1, Yaoguang Wei1 
03 Jun 2012
TL;DR: The aim of the DAC 2012 routability-driven placement contest is to release challenging benchmark designs that are derived from modern industrial ASICs, and contain information to perform both placement and routing, and present a new congestion metric, as well as an accurate congestion analysis framework to evaluate and compare the routability of various placement algorithms.
Abstract: Existing routability-driven placers mostly employ rudimentary and often crude congestion models that fail to account for the complexities in modern designs, e.g., the impact of non-uniform wiring stacks, layer directives, partial and/or complete routing blockages, etc. In addition, they are hampered by congestion metrics that do not accurately score or represent design congestion. This is in large part due to the non-availability of public designs depicting industrial wiring stacks and other complexities affecting design routability. The aim of the DAC 2012 routability-driven placement contest is to address these issues, by way of the following: (a) release challenging benchmark designs that are derived from modern industrial ASICs, and contain information to perform both placement and routing, (b) present a new congestion metric, as well as an accurate congestion analysis framework to evaluate and compare the routability of various placement algorithms. We hope that a set of challenging benchmarks, along with a standard, publicly available evaluation framework will further advance research in routability-driven placement.

93 citations

Proceedings ArticleDOI
05 Nov 2012
TL;DR: The history of placement research, the progress leading up to the state of the art, and outstanding challenges are surveyed.
Abstract: Given the significance of placement in IC physical design, extensive research studies performed over the last 50 years addressed numerous aspects of global and detailed placement. The objectives and the constraints dominant in placement have been revised many times over, and continue to evolve. Additionally, the increasing scale of placement instances affects the algorithms of choice for high-performance tools. We survey the history of placement research, the progress achieved up to now, and outstanding challenges.

88 citations