scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Micro-nanolithography Mems and Moems in 2005"


PatentDOI
Yuri Granik1
TL;DR: In this paper, a system and method for optimizing an illumination source to print a desired pattern of features was proposed, which divides a light source into pixels and determines an optimum intensity for each pixel such that when the pixels are simultaneously illuminated, the error in a printed pattern of feature is minimized.
Abstract: A system and method for optimizing an illumination source to print a desired pattern of features dividing a light source into pixels and determining an optimum intensity for each pixel such that when the pixels are simultaneously illuminated, the error in a printed pattern of features is minimized. In one embodiment, pixel solutions are constrained from solutions that are bright, continuous, and smooth. In another embodiment, the light source optimization and resolution enhancement technique(s) are iteratively performed to minimize errors in a printed pattern of features.

143 citations


Journal ArticleDOI
Peter J. Silverman1
TL;DR: In this paper, a technical overview of extreme ultraviolet (EUV) lithography and a discussion of the advantages of EUV lithography over alternative technologies are discussed. And the key challenges in developing EUV exposure tools for high-volume production is discussed.
Abstract: Extreme ultraviolet (EUV) lithography has emerged as the most likely successor to 193-nm lithography. We provide a technical overview of EUV lithography and a discussion of the advantages of EUV lithography over alternative technologies. The key challenges in developing EUV exposure tools for high-volume production are discussed. A brief assessment is given of the cost of ownership of EUV lithography in comparison with 193-nm immersion lithography.

88 citations


Journal ArticleDOI
TL;DR: The achievable design rule criteria is explored for very low k1-imaging by simultaneously optimizing NA, illumination settings and OPC (for optimum placement of SB) for a calibrated process.
Abstract: It has been a challenge for the lithography process to meet aggressive integrated circuit design rule requirements for 90 nm and upcoming 65 nm technology nodes under low-k1 patterning constraints. The geometric design rules are largely governed by numerical aperture (NA), illumination settings, and optical proximity correction (OPC) for any resolution enhancement technique-applied mask. A set of process feasible design rule criteria is explored based on state-of-the-art microprocessor chip that contains three different types of circuit design-standard library cell (SLC), random logic (RML), and static random access memory (SRAM). The critical design rule criteria to keep higher packing density for SRAM involve: achievable minimum pitch, sufficient area of contact-landing pad, minimum line-end shortening (LES) to ensure poly end-cap and preferably optimum pitch for placement of Scattering BarTM (SB). The goal is to achieve printing of ever-smaller critical dimension (CD) with greater CD uniformity control for RML. SLC should be designed with comparable criteria to both RML and SRAM devices. Hence, the design rule constraints for CD, space, line-end, minimum pitch and SB placement for SLC cell are critically confined. Unlike traditional method of assuming a linear scaling for the design rule set, achievable design rule criteria is explored for very low k1-imaging by simultaneously optimizing NA, illumination settings and OPC (for optimum placement of SB) for a calibrated process. This is done by analyzing CD uniformity control and maximum overlapped process window for critical lines, spaces and line-ends with the respective k1 factor for three types of circuits. A feasible set of design rules for 90 nm node with k1 as low as 0.36 can be obtained using 6% attenuated phase shift mask (attPSM) with 6% exposure latitude at 400 nm of overlapped depth of focus.

69 citations


Journal ArticleDOI
TL;DR: Step and flash imprint lithography shows promise as a low cost manufacturing tool for a wide variety of semiconductor, microelectromechanical, optoelectronic, microfluidic, and other devices.
Abstract: Step and flash imprint lithography (SFIL) has made tremendous progress since its initial development at The University of Texas at Austin in the late 1990s. The SFIL process went from laboratory to commercialization in under five years, and the number of technical hurdles that must be cleared before it is recognized as fully competitive with optical or EUV lithography for sub-50-nm patterning is dwindling. Patterning resolution has been demonstrated down to 20 nm, with the limit so far being only the template fabrication process. The SFIL method was developed from the beginning with the precision overlay/alignment requirements of multilevel device fabrication in mind. It was recognized that it would be inherently easier to achieve overlay and alignment accuracy with a constant temperature and low pressure imprinting process, and already tool designers have built on SFIL's advantages to produce tools that are viable for multilayer device fabrication. Early tools have demonstrated better than 10-nm alignment resolution, and no insurmountable fundamental issues have been identified that would prevent alignment resolution from reaching the tight tolerances required for integrated circuit manufacturing. With any contact printing method, process-generated defects are a concern, but the SFIL process has proven to be surprisingly robust with an inherent self-cleaning mechanism for removing particle contamination. Furthermore, new template surface treatments have been developed that improve mold lifetime and minimize defect generation. SFIL shows promise as a low cost manufacturing tool for a wide variety of semiconductor, microelectromechanical, optoelectronic, microfluidic, and other devices. This work summarizes the state of development of step and flash imprint lithography and discusses its potential as a general nanofabrication tool.

67 citations


Journal ArticleDOI
TL;DR: The practicability and methodology of applying resolution- enhancement-technique-driven regularly placed contacts and gates on standard cell layout design are studied and the regular placement enables more effective use of resolution enhancement techniques (RETs), which enables a reduction of critical dimensions.
Abstract: The practicability and methodology of applying resolution- enhancement-technique-driven regularly placed contacts and gates on standard cell layout design are studied. The regular placement enables more effective use of resolution enhancement techniques (RETs), which in turn enables a reduction of critical dimensions. Although regular place- ment of contacts and gates adds restrictions during cell layout, the over- all circuit area can be made smaller and the number of extra masks and exposures can be kept to the lowest by careful selection of the grid pitch, using template-trim chromeless phase-shifting lithography approaches, enabling unrestricted contact placement in one direction, and using rect- angular rather than square contacts. Four different fabrication-friendly layouts are compared. The average area change of 64 standard cells in a 130-nm library range from 24.2 to 215.8% with the four fabrication- friendly layout approaches. The area change of five test circuits using the four approaches range from 216.2 to 12.6%. Dynamic power con- sumption and intrinsic delay also improve with the decrease in circuits area, which is verified with the examination results. © 2005 Society of Photo- Optical Instrumentation Engineers. (DOI: 10.1117/1.1857529)

64 citations


Journal ArticleDOI
TL;DR: In this paper, an in-depth finite element analysis (FEA) is performed to investigate the causes and effects of the internal stresses, and an optimized UV photolithography process for the fabrication of ultra-thick low-stress SU-8 patterns is developed with conventional (simple) equipment.
Abstract: Patterning thick SU-8 with conventional photolithography facilities is important for fabricating various MEMS structures. However, the fabrication of thick SU-8 MEMS has experienced severe problems such as cracks, distortions, or delaminations during the fabrication process and/or postservices, due to the large internal stress generated during the photolithography process. In this work, an in-depth finite element analysis (FEA) is performed to investigate the causes and effects of the internal stresses. Analytical results show that the post-exposure bake (PEB) temperature is the main factor in developing the resulted internal stress. Under the guidance of analytical results, an optimized UV photolithography process for the fabrication of ultra-thick low-stress SU-8 patterns is developed with conventional (simple) equipment. A low PEB temperature of 55°C reduces the internal stresses by more than 70% compared to those fabricated with the recommended procedure. Experimental results indicate that cracks, distortions, and delaminations are eliminated from the fabricated SU-8 structures using the newly developed procedure. In addition, the patterned SU-8 has a Young's modulus of 2.5 GPa and an ultimate strength of 50 MPa, which is about 50% higher than previous reported values.

55 citations


Journal ArticleDOI
TL;DR: The aberrations of the Jones matrix pupil are a suitable tool to identify the main drivers determining polarization performance and enable us to compare the polarized and unpolarized performance of such a characterized lithographic system.
Abstract: We give a general introduction into polarized imaging and report on a Jones pupil approach for a complete evaluation of the resulting optical performance. The Jones pupil assigns a Jones matrix to each point of the exit pupil, describing the impact of both the global phase and the polarization on imaging. While we already can learn much about the optical system by taking a close look at the Jones pupil-and starting imaging simulations from it-a quantitative assessment is necessary for a complete evaluation of imaging. To do this, we generalize the concept of scalar Zernike aberrations to Jones-Zernike aberrations by expansion of the Jones pupil into vector polynomials. The resulting method is nonparaxial, i.e., the effect of the polarization-dependent contrast loss for high numerical apertures is included. The aberrations of the Jones matrix pupil are a suitable tool to identify the main drivers determining polarization performance. Furthermore, they enable us to compare the polarized and unpolarized performance of such a characterized lithographic system.

39 citations


Journal ArticleDOI
TL;DR: With the latest technology in SLM and rasterizing technology, an optical maskless tool can match regular mask-based scanners in all imaging modes at the 65-nm node, including binary, weak and strong phase-shifting, phase edge, and chromeless phase lithography.
Abstract: We review the current status of optical maskless lithography technology. The optical maskless systems presented in literature are either aimed for low-cost, large-feature, low-end production, or high-end performance directly competing with state of the art optical scanners. In the latter case, optical maskless systems are based on piston or tilting micromirror spatial light modulators (SLMs). Similar performance can be achieved with both mirror types, but tilting mirrors offer lower manufacturing complexity, the possibility of using larger mirrors, less complex rasterizing algorithms, and lower demands on the data path. This indicates that the tilting mirror arrangement might be more appealing for high-performance, high-capacity, economical optical maskless lithography. With the latest technology in SLM and rasterizing technology, an optical maskless tool can match regular mask-based scanners in all imaging modes at the 65-nm node, including binary, weak and strong phase-shifting, phase edge, and chromeless phase lithography. Optical maskless lithography can further provide an almost complete transparency with current lithography technology in terms of design rules, optical proximity correction (OPC) models, and illumination settings. Any difference is due not to the SLM, but to the reticle process and electromagnetic properties.

39 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of reticle birefringence with polarized illumination was explored, and it was found that dense features on a Cr binary reticle will polarize the light into the TE component upward of 15%.
Abstract: The use of immersion technology will extend the lifetime of 193-nm technology by enabling numerical apertures (NAs) much greater than 1.0. The ultimate limits of NA are explored by analysis of polarization effects at the reticle and imaging effects at the wafer. The effect of reticle birefringence with polarized illumination is explored. The effects on critical dimension (CD) uniformity are mitigated if the maximum birefringence is <5 nm/cm. Hertzian or micropolarization due to the size of the reticle structures is examined through rigorous simulation. For the regime of interest, 20- to 50-nm imaging, it is found that dense features on a Cr binary reticle will polarize the light into the TE component upward of 15%. Below this regime, the light becomes polarized in the TM direction. The use of polarization in the illuminator for imaging will result in substantial gains in exposure latitude and mask error factor (MEF) when the NA~1.3 with 45-nm lines at 193 nm, with overall polarization effects increasing with decreasing k1. The end-of-line pullback for 2-D patterns is reduced by the use of TE polarization in the illuminator. The interaction between the reticle-induced polarization and the illumination polarization is shown to be significant when an analysis is done using rigorous mask simulation instead of the more common Kirchhoff approximation. The impact of birefringence in the lens is analyzed using Jones pupil matrices to create a local polarization error in the pupil. The photoresist process is shown to interact with polarization. Different photoresists will show varying degrees of sensitivity to polarization variation.

35 citations


Journal ArticleDOI
TL;DR: In this paper, the authors developed a number of high-index fluids for im-mersion lithography at 193 nm and 1.664 nm, respectively, using interference printers.
Abstract: Water-based immersion lithography using ArF illumination is able to provide optical solutions as far as the 45-nm node, but is not able to achieve the 38- or 32-nm nodes as currently defined. Achieving these lithographic nodes will require new, higher refractive index fluids to re- place the water used in first-generation immersion systems. We have developed a number of such second-generation high-index fluids for im- mersion lithography at 193 nm. These highly transparent fluids have 193-nm indices up to 1.664. To understand the behavior and perfor- mance of different fluid classes, we use spectral index measurements to characterize the index dispersion, coupled with Urbach absorption edge analysis and Lorentz Oscillator modeling. Interference imaging printers have long been available, and they now have a new use: a rapid, cost- effective way to develop immersion lithography, particularly at extremely high resolutions. Although interference printers will never replace classi- cal lens-based lithography systems for semiconductor device production, they do offer a way to develop resist and fluid technology at a relatively low cost. Their simple image-forming format offers easy access to the basic physics of advanced imaging. Issues such as polarization of the image-forming light rays, fluid/resist interaction during exposure, topcoat film performance, and resist line edge roughness LER at extremely high resolutions, can all be readily studied. 32-nm 1:1 line/space L/S imaging is demonstrated using two of the second-generation fluids. These resolutions are well beyond current lens-based system capabili- ties. Results on the performance of various resists and topcoats are also reported for 32-nm L/S features. © 2005 Society of Photo-Optical Instrumentation

35 citations


Journal ArticleDOI
TL;DR: In this paper, the etch rates for three different pulse durations were compared using the XUV-ABLATOR code to compensate for the wavelength difference, and the results of the experiments also showed that the ablation rate increases while the wavelength decreases from the xUV spectral region toward x-rays, mainly due to increase of attenuation lengths at short wavelengths.
Abstract: For conventional wavelength (UV-vis-IR) lasers delivering radiation energy to the surface of materials, ablation thresholds, ablation (etch) rates, and the quality of ablated structures often differ dramatically between short (typically nanosecond) and ultrashort (typically femtosecond) pulses. Various short-wavelength (<100 nm) lasers emitting pulses with durations ranging from ~10 fs to ~1 ns have recently been put into routine operation. This makes it possible to investigate how ablation characteristics depend on pulse duration in the XUV spectral region. Four sources of intense short-wavelength radiation available in the authors' laboratories, including XUV and soft x-ray lasers, are used for the ablation experiments. Based on the results of the experiments, the etch rates for three different pulse durations are compared using the XUV-ABLATOR code to compensate for the wavelength difference. Comparing the values of etch rates calculated for nanosecond pulses with those measured for shorter pulses, we can study the influence of pulse duration on XUV ablation efficiency. The results of the experiments also show that the ablation rate increases while the wavelength decreases from the XUV spectral region toward x-rays, mainly due to increase of attenuation lengths at short wavelengths.

Journal ArticleDOI
TL;DR: In this paper, a multifunctional interferometric platform for testing MEMS/MOEMS, measuring 3D out-of-plane deflections and providing both material properties and motion behavior of microdevices.
Abstract: We develop a multifunctional interferometric platform for testing MEMS/MOEMS, measuring 3-D out-of-plane deflections and providing both material properties and motion behavior of microdevices. Specific metrology procedures are demonstrated to determine respectively the residual stress of silicon membranes compressively prestressed by SiOxNy plasma-enhanced chemical vapor deposition (PECVD), the vibration modes of PZT microactuators, as well as the expertise of scratch drive actuators.

Journal ArticleDOI
TL;DR: In this article, programmable diffraction gratings and their uses for projection displays, spectroscopy, and wavelength management in modern optical telecommunication systems are discussed. But the authors focus on programmable gratings only.
Abstract: Microelectromechanical systems (MEMS) and optics are a natural match. There are several reasons: MEMS devices have dimensions and achievable actuation distances comparable to the wavelength of light; smooth-surfaced dielectrics, semiconductors, and metals can be used in various combinations; and, since redirection of photons does not require large forces, the relatively feeble MEMS actuators can easily manipulate them. Micro-optical-electromechanical systems (MOEMS) are those where optics merge with MEMS. Many MOEMS devices are based on mirror arrays that can be tilted using electrostatic actuation. This work, however, focuses on programmable diffraction gratings and their uses for projection displays, spectroscopy, and wavelength management in modern optical telecommunication systems.

Journal ArticleDOI
TL;DR: In this paper, the dependence of repeatability and bias on eight different parameters of critical dimension scanning electron microscope (CD-SEM) was studied using the MONSEL Monte Carlo electron simulator.
Abstract: The ability of a critical dimension scanning electron microscope (CD-SEM) to resolve differences in the widths of two lines depends on the instrument's measurement repeatability and any sample-dependent biases. The dependence of repeatability and bias on eight different parameters is studied using the MONSEL Monte Carlo electron simulator. For each of 14,400 different combinations of values of eight parameters, three describing the sample and five describing characteristics of the instrument or measurement condition, an image is simulated, noise is added, and the edge positions are "measured" as would be done in a CD-SEM. From 100 repetitions of noise, the repeatability of such CD determinations is ascertained. Biases (i.e., average errors) are also determined. Noise amplitude, edge detection algorithm, and beam size are shown to be significant factors in measurement repeatability. The CD-SEM's measurement repeatability may be an order of magnitude better than its spatial resolution. For standard edge detection methods, the bias depends on the sample. This means that in a manufacturing environment in which the sample shape varies, there will be a random component of error that is not measured by the industry's usual same-sample tests of instrument precision.

Journal ArticleDOI
TL;DR: In this article, the authors use the optical simulator of a commercial OPC software to study source polarization and its impact in process latitude and in proximity and linearity curves, showing that more than a 10nm difference in both curves is observed versus source polarization at an immersion numerical aperture (NA)>1, projected to print the 45-nm node.
Abstract: Optical proximity correction (OPC) tools are already equipped with the most advanced models for image formation and are capable of thin-film modeling, vector diffraction modeling, and polarization modeling. Accurate simulation of immersion lithography, even in the context of OPC, does not pose any particular difficulty. We use the optical simulator of a commercial OPC software to study source polarization and its impact in process latitude and in proximity and linearity curves. More than a 10-nm difference in both curves is observed versus source polarization at an immersion numerical aperture (NA)>1, projected to print the 45-nm node. Simulation of large and arbitrary layout snippets confirms these results and demonstrates the feasibility of using advanced models in the context of OPC. Also, dry and water-immersion lithography are compared at the same NA<1 and the main differences in imaging are highlighted. The depth-of-focus (DOF) increase in immersion is confirmed in both the ambient medium and the available DOF in resist. The DOF simulation results correlate closely with recent experimental work from other researchers.

Journal ArticleDOI
TL;DR: In this article, a mesoscopic description of the photoresist was used to simulate the post-exposure bake of optical lithography and applied to modeling the reaction-diffusion processes in a chemically amplified resist (CAR).
Abstract: A new method for simulating the post-exposure bake (PEB) of optical lithography is presented and applied to modeling the reaction-diffusion processes in a chemically amplified resist (CAR). The new approach is based on a mesoscopic description of the photoresist, taking into account the discrete nature of resist molecules and inhibitor groups that are attached to the resist polymers, but neglecting molecular details on an atomistic (microscopic) level. As a result, the time- and space-dependent statistical fluctuations of resist particle numbers, the correlations among them, and their effect on the printing result can be accounted for. The less molecules that are present in the volume of interest, the more important these fluctuations and correlations will become. This is the case for more and more shrinking critical dimensions (CD) of the lithographic structures but unchanged molecular sizes of the relevant resist species. In particular, the new PEB simulation method allows us to predict the behavior of statistical defects of the printed lithographic structures, which may strongly contribute to printing features like line edge roughness (LER).

Journal ArticleDOI
TL;DR: In this article, different photomask materials (binary and attenuated phase shift), feature sizes and shapes, pitch values, duty ratios (line to space), and wavelengths effect the polarization of transmitted radiation.
Abstract: Degradation in image contrast becomes a concern at higher numerical apertures (NAs) due to mask-induced polarization effects. We study how different photomask materials (binary and attenuated phase shift), feature sizes and shapes, pitch values, duty ratios (line to space), and wavelengths effect the polarization of transmitted radiation. Rigorous coupled-wave analysis (RCWA) is used to simulate the polarization of radiation by the photomask. The results show that higher NA leads to greater polarization effects in all cases. Off-axis illumination increases polarization in one of the first orders, decreasing it in the other. Nonvertical sidewall angles and rounded corners can also impact polarization, but the wavelength of incident radiation has no effect on polarization effects at the same NA values. In general, materials with higher refractive indices and lower extinction coefficients tend to pass more of the TM polarization state, whereas materials with lower refractive indices and a relatively wider range of extinction coefficients pass more TE polarized radiation. These properties can provide new design considerations for the development of next-generation masking materials.

Journal ArticleDOI
TL;DR: In this article, the inplane motion of microelectrothermal actuator ("heatuator") has been analyzed for Si-based and metallic devices, and it was found that the lateral deflection of a heatuator made of a Ni metal is about 60% larger than that of a Si based actuator under the same power consumption.
Abstract: The in-plane motion of microelectrothermal actuator ("heatuator") has been analyzed for Si-based and metallic devices. It was found that the lateral deflection of a heatuator made of a Ni metal is about ~60% larger than that of a Si-based actuator under the same power consumption. Metals are much better for thermal actuators as they provide a relatively large deflection and large force, for a low operating temperature and power consumption. Electroplated Ni films were used to fabricate heatuators. The electrical and mechanical properties of electroplated Ni thin films have been investigated as a function of temperature and plating current density, and the process conditions have been optimized to obtain stress-free films suitable for microelectromechanical systems applications. Lateral thermal actuators have been successfully fabricated, and electrically tested. Microswitches and microtweezers utilizing the heatuator have also been fabricated and tested.

Journal ArticleDOI
TL;DR: The high sensitivity of the proposed method enables us to precisely determine the structure morphology and calculate the intrinsic stress and bending moment, in good agreement with an analytical model, and can be exploited to assess the fabrication process and the functionality as well as the reliability of micromachined structures.
Abstract: Microelectromechanical systems (MEMS) are integrated microdevices or systems combining electrical and mechanical components that can sense, control, and actuate on the microscale and function individually or in arrays to generate effects on the macroscale. MEMS is one of the most promising areas in future computers and machinery, the next logical step in the silicon revolution. Fabricated using integrated circuit (IC)-compatible batch-processing technologies, the small size of MEMS opens a new line of exciting applications, including aerospace, automotive, biological, medical, fluidics, military, optics, and many other areas. We explore the potentialities of a high-resolution optical technique for characterizing MEMS microstructures. The method is based on the application of digital holography as a noncontact metrological tool for inspection and characterization of the microstructure surface morphology. The microstructures under investigation are homogeneous and bimorph polysilicon cantilevers; both structures exhibit an out-of-plane deformation owing to residual stress. The high sensitivity of the proposed method enables us to precisely determine the structure morphology and calculate the intrinsic stress and bending moment, in good agreement with an analytical model. Hence, the proposed technique can be exploited to assess the fabrication process and the functionality as well as the reliability of micromachined structures. Moreover, it is also used as a tuning tool for design and finite-element-based simulation software.

Journal ArticleDOI
Lars Erdmann1, Arnaud Deparnay1, Gunter Maschke1, Mario Längle1, Robert Brunner1 
TL;DR: A new method for the fabrication of diffractive andrefractive micro-optical components is presented, suitable for high-quality rapid prototyping of optical components and allows the fast ex-perimental test of designs for computer-generated holograms or refrac-tive microstructures.
Abstract: We present a new method for the fabrication of diffractive and refractive micro-optical components. The method is suitable for high-quality rapid prototyping of optical components and allows the fast experimental test of designs for computer-generated holograms or refractive microstructures. Our method is based on employing a digital-multimirror device (DMD) as a switchable projection mask. The DMD is imaged into a photoresist layer using a Carl Zeiss lithography objective with a demagnification of 10:1 and a numerical aperture of 0.32 on the image side. The resulting pixel size is 1.368×1.368 µm. In comparison with laser direct writing with a single spot, our method is a parallel processing of nearly 800,000 pixels (1024×768 pixels). This fabrication method can be applied to all MOEMS components. The method adds a new dimension in MOEMS processing, reducing the fabrication complexity, and improves the flexibility of process simulation and design.

Journal ArticleDOI
TL;DR: In this article, a 1500-µm-diam silicon/silicon nitride 3-D scan mirror has been built using MOEMS technology, which is capable of static and dynamic beam scanning achieved with a biaxial gimbal.
Abstract: A 1500-µm-diam silicon/silicon nitride 3-D scan mirror has been built using MOEMS technology. It is capable of static and dynamic beam scanning achieved with a biaxial gimbal. A gold-coated deformable membrane at the center of the device provides both focus control (z axis) and spherical aberration correction. This architecture is able to move the focus of a laser beam throughout a 3-D space with one single optical surface, and is referred to as a MOEMS 3-D scan mirror. This mirror will be incorporated into a miniature confocal laser scanning microscope for biomedical in-situ imaging applications. We describe the 3-D scan mirror design, fabrication, characterization, and briefly describe the target application. A confocal laser scanning microscope using this mirror to achieve beam scanning and focus control is also demonstrated.

Journal ArticleDOI
TL;DR: Numerical simulation results utilizing MATLAB indicate that the proposed adaptive controller has a better transient response and can more precisely follow the reference trajectory, compared to the PID control scheme.
Abstract: We demonstrate a closed-loop adaptive control scheme for achieving accurate positioning and trajectory tracking of an electrostatically driven torsional micromirror. Compared to the conventional proportional-integral-derivative (PID) controller, the proposed adaptive self-tuning controller has advantages of on-line compensating parameter variations and model uncertainty of the torsional micromirror, resulting from fabrication imperfections. Numerical simulation results utilizing MATLAB indicate that the proposed adaptive controller has a better transient response and can more precisely follow the reference trajectory, compared to the PID control scheme. The torsional micromirror is designed and successfully fabricated using surface micromachining processes. Real-time experimental results demonstrate that the proposed adaptive control is feasible and can improve the performance of the micromirror.

Journal ArticleDOI
TL;DR: In this paper, a hybrid micro-nanofluidic channel network is developed on a silicon wafer for bioanalytical applications, such as separation, concentration, and fractionation.
Abstract: A hybrid micro-nanofluidic channel network is developed on a silicon wafer for bioanalytical applications, such as separation, concentration, and fractionation. The nanochannel is formed on the silicon wafer using surface micromachining techniques, while the microchannel is fabricated on the poly-di-methyl-siloxane utilizing soft lithography techniques. Microfluidic networks not only support the very thin wall of the nanofluidic channel, but also provide appropriate gateways for the fluid/sample flow. The thickness of the microchannels is kept below 10 µm by changing the spin rate and time during photolithography. On the other hand, nanochannel thickness is varied between 100 and 200 nm by controlling the sputtering time of the sacrificial copper layer. Electrochemical wet etching is employed to release the thin layer of copper from the silicon dioxide shell. Our etching technique demonstrates significant advantages over other existing methods, such as wet chemical etching and reactive ion etching, including relatively fast etching rate, good selectivity, less safety and environmental concerns, less monitoring and control issues, and low cost. The dimensions of our microfluidic channels are measured using a profilometer, while the nanochannel thickness is confirmed by the atomic force microscopy and scanning electron microscopy images.

Journal ArticleDOI
TL;DR: In this article, the authors measured the water concentration depth profile within the immersed photoresist films with neutron reflectometry and observed a gradient in water concentration near the polymer/HMDS substrate interface with a concentration of approximately 17% by volume fraction and extending up to 50 A into the film.
Abstract: The emergence of immersion lithography as a potential alternative for the extension of current lithography tools requires a fundamental understanding of the interactions between the photoresist and an immersion liquid such as water. The water concentration depth profile within the immersed photoresist films is measured with neutron reflectometry. The polymer/substrate interface affects both the water concentration near the interface and the surface morphology of the film. Immersed films are not stable (adhesive failure) over the course of hours when supported on a silicon wafer with a native oxide surface, but are stable when the substrate is first treated with hexamethyldisilazane (HMDS). The bulk of the polymer films swells to the equilibrium water concentration, however, a gradient in water concentration is observed near the polymer/HMDS substrate interface with a concentration of approximately 17% by volume fraction and extending up to 50 A into the film. Thus, polymers that absorb more than this amount exhibit depletion near the interface, whereas polymers that absorb less exhibit a water excess layer. These concentration gradients extend approximately 50 A away from the interface into the film. As the total film thickness approaches this length scale, the substrate-induced concentration gradients lead to a film-thickness-dependent swelling; enhanced or suppressed swelling is witnessed for the excess or depleted interfacial concentrations, respectively. The substrate also influences the surface morphology of immersed thin films. The film surface is smooth for the HMDS-treated substrate, but pin-hole defects with an average radius of 19±9 nm are formed in the films supported on the native oxide substrates.

Journal ArticleDOI
TL;DR: Experimental and theoretical studies of image placement error induced by aberrations of the projection lens are performed, to experimentally determine the magnitude of the image placement errors, to compare experiments and simulations, and to screen possible correction strategies.
Abstract: We perform experimental and theoretical studies of image placement error induced by aberrations of the projection lens. The goal is to experimentally determine the magnitude of the image placement errors, to compare experiments and simulations, and to screen possible correction strategies. The calculations and experiments are done for ArF lithography. Theoretically, we simulate image placement error using the projection lens aberration data and simulators such as Prolith or Solid-C. Features with low and high sensitivities to lens aberrations are identified, together with a reference feature that has low sensitivity for image placement error. Dedicated reticles are fabricated to print various features at different illumination conditions on the same substrate. The resulting patterns could be analyzed using top-down scanning electron microscopy (SEM), but also optically with the standard optical overlay tool KLA5200. For both techniques, the experimentally found image placement errors are in excellent agreement with simulations. In simulations, we calculate the dependency of the image placement error on pattern density, pattern orientation, and illumination conditions. These tendencies are experimentally reproduced. We conclude with a case study that demonstrates a possible correction strategy for image placement error.

Journal ArticleDOI
TL;DR: In this paper, the double line and space (L&S) formation method with L&S masks and dipole illumination was found to have high capability to fabricate ~0.3 k1 contact hole (C/H) pattern.
Abstract: The double line and space (L&S) formation method with L&S masks and dipole illumination was found to have high capability to fabricate ~0.3 k1 contact hole (C/H) pattern. The procedure was as follows. The first L&S pattern was formed and was hardened to avoid the dissolution and mixing during the second resist coating. The second L&S pattern perpendicular to the first one was formed on the first resist pattern. The common space area of the two patterns became 1:1 C/H pattern. Simulation results showed that the double L&S formation method has much wider lithography latitude than other methods, such as single exposure of a C/H mask with quadrupole illumination, single exposure of a vortex mask with conventional illumination, and double exposure of L&S masks with dipole illumination to a single-layer resist. A 75 nm (0.30 k1) 1:1 C/H pattern was fabricated. An 80 nm (0.32 k1) 1:1 C/H pattern had 280 and 600 nm depth of focus in each resist layer at 8% exposure latitude. Moreover, a new method, in which a C/H mask replaces the L&S masks, is proposed to achieve cost reduction and the same high performance as the L&S masks.

Journal ArticleDOI
TL;DR: In this article, a point spread function of scattering (PSFsc) is used to describe the impact of stray light on the critical dimension (CD) of line-space (L/S) patterns with varying mask Cr coverage from light field to dark field.
Abstract: It has been proposed that the impact of stray light (scattered light or flare) in lithographic exposure tools can be mathematically described by the use of a point spread function of scattering (PSFsc). We present an experimental verification of this model as follows. First, we determined the PSFsc at multiple positions in the exposure slit from experimental disappearing-pad-test data. It is found that a PSFsc based on the fractal model fits these data very well. Next, we used an aerial-image simulator to quantitatively predict the impact of the fitted PSFsc on the critical dimension (CD), for line-space (L/S) patterns with varying mask Cr coverage from light field to dark field. A dedicated mask was built to provide L/S patterns with the different Cr coverage, at the same slit positions where the stray-light measurements were done. Finally, we compared the predictions of the stray-light impact on CD with the CD measured from wafers printed with this mask. This comparison yielded good agreement, which further confirms the validity of the PSFsc approach. We also experimentally investigated the impact of lens contamination on the PSFsc and propose a double-sloped fractal-based PSFsc to describe situations such as this.

Journal ArticleDOI
TL;DR: In this article, the functional dependence of a resist critical dimension with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory.
Abstract: The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.

Journal ArticleDOI
TL;DR: In this paper, the authors fabricate periodic arrays of silicon ribbons using electron beam lithography and thin film deposition at highly oblique incident angles, where the planar fill factor was less than the equilibrium volume fill factor of the thin film.
Abstract: Ribbon structures on the submicrometer scale are of interest for the development of nanodevices in various fields We fabricate periodic arrays of silicon ribbons using electron beam lithography and thin film deposition at highly oblique incident angles A periodicity of 1 µm and a line width less than 100 nm is used for the lithographically prepatterned substrate seed layers to ensure that the planar fill factor was less than the equilibrium volume fill factor of the thin film Individual ribbons exhibit a width of approximately 18 µm, controlled by the length of deposition, and a thickness of approximately 100 nm The ribbons fabricated for this experiment have a length of 4 mm, and exhibit an amorphous structure with scattered crystallites throughout the matrix

Journal ArticleDOI
TL;DR: In this paper, a microfluidic ink delivery apparatus (called "inkwells") for simultaneously coating an array of DPN pens with single or multiple inks is presented.
Abstract: In Dip Pen NanolithographyTM (DPNTM) ultrasharp tips coated with chemical compounds (or "ink") are in contact with a surface to produce submicron sized features. There is a need to deliver multiple inks to an array of closely spaced tips (or "pens"). This work demonstrates the design optimization, fabrication process development, process optimization, and testing of a microfluidic ink delivery apparatus (called "inkwells") for simultaneously coating an array of DPN pens with single or multiple inks. The objective of this work is to deliver between four and ten different inks from reservoirs into an appropriately spaced microwell array. The tips of the multipen array are coated with the same or different inks by dipping them into the microwell array. The reservoirs, microwells, and their connecting microchannels were etched in silicon wafers using deep reactive ion etching. Fluid actuation was achieved by capillary flow (wicking). The optimum layouts for different applications were selected with respect to the volume requirement of different inks, the efficacy of ink-well filling, prevention of bubble formation, and the ease of operation (such as dipping and writing) with a parallel array of pens.