scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 1999"


Journal ArticleDOI
TL;DR: The recent development in the field of superhard materials with Vickers hardness of ⩾40 GPa is reviewed in this article, where two basic approaches are outlined including the intrinsic superhard material, such as diamond, cubic boron nitride, C3N4, carbonitrides, etc. and extrinsic, nanostructured materials for which superhardness is achieved by an appropriate design of their microstructure.
Abstract: The recent development in the field of superhard materials with Vickers hardness of ⩾40 GPa is reviewed. Two basic approaches are outlined including the intrinsic superhard materials, such as diamond, cubic boron nitride, C3N4, carbonitrides, etc. and extrinsic, nanostructured materials for which superhardness is achieved by an appropriate design of their microstructure. The theoretically predicted high hardness of C3N4 has not been experimentally documented so far. Ceramics made of cubic boron nitride prepared at high pressure and temperature find many applications whereas thin films prepared by activated deposition from the gas phase are still in the stage of fundamental development. The greatest progress has been achieved in the field of nanostructured materials including superlattices and nanocomposites where superhardness of ⩾50 GPa was reported for several systems. More recently, nc-TiN/SiNx nanocomposites with hardness of 105 GPa were prepared, reaching the hardness of diamond. The principles of de...

1,122 citations


Journal ArticleDOI
TL;DR: In this paper, the mechanisms underlying selective etching of a SiO2 layer over a Si or Si3N4 underlayer, a process of vital importance to modern integrated circuit fabrication technology, has been studied.
Abstract: The mechanisms underlying selective etching of a SiO2 layer over a Si or Si3N4 underlayer, a process of vital importance to modern integrated circuit fabrication technology, has been studied. Selective etching of SiO2-to-Si3N4 in various inductively coupled fluorocarbon plasmas (CHF3, C2F6/C3F6, and C3F6/H2) was performed, and the results compared to selective SiO2-to-Si etching. A fluorocarbon film is present on the surfaces of all investigated substrate materials during steady state etching conditions. A general trend is that the substrate etch rate is inversely proportional to the thickness of this fluorocarbon film. Oxide substrates are covered with a thin fluorocarbon film (<1.5 nm) during steady-state etching and at sufficiently high self-bias voltages, the oxide etch rates are found to be roughly independent of the feedgas chemistry. The fluorocarbon film thicknesses on silicon, on the other hand, are strongly dependent on the feedgas chemistry and range from ∼2 to ∼7 nm in the investigated process...

244 citations


Journal ArticleDOI
TL;DR: Transparent conducting oxide (TCO) films were prepared in multicomponent oxides composed of ZnO, SnO2, GaInO3, or MgO combined with In2O3 or ZnCo combined with SnCo as mentioned in this paper.
Abstract: Transparent and conductive thin films of multicomponent oxides composed of binary and/or ternary compounds with varied chemical compositions have been prepared by rf or dc magnetron sputtering. Transparent conducting oxide (TCO) films were prepared in multicomponent oxides composed of ZnO, SnO2, Ga2O3, or MgO combined with In2O3 or ZnO combined with SnO2, containing ternary compounds such as Zn2In2O5, In4Sn3O12, GaInO3, MgIn2O4, and ZnSnO3. In addition, the TCO films were prepared in a multicomponent oxide composed of combinations of these ternary compounds. In particular, the Zn2In2O5 and In4Sn3O12 films exhibited a resistivity of 2×10−4 Ω cm, comparable to that of indium–tin–oxide films. TCO films could always be obtained in multicomponent oxides consisting of combinations of metal oxides as long as these oxides were TCO film materials or transparent conductors; the mixture ratio of the components could be varied over all possible compositions. The electrical, optical, and chemical properties, the band-...

222 citations


Journal ArticleDOI
TL;DR: One atmosphere uniform glow discharge plasma (OAUGDP) as discussed by the authors is a plasma source that is capable of operating at atmospheric pressure in air and providing antimicrobial active species at room temperature.
Abstract: The medical, industrial, and food processing industries are constantly in search of new technologies to provide improved methods of sterilization and pasteurization. Proposed techniques must deal with such problems as thermal sensitivity and destruction by heat, formation of toxic by-products, cost, and inefficiency in performance. We report results from a newly invented plasma source, a one atmosphere uniform glow discharge plasma (OAUGDP), which is capable of operating at atmospheric pressure in air and providing antimicrobial active species at room temperature. OAUGDP exposures have reduced log numbers of bacteria (Escherichia coli, Staphylococcus aureus, and Pseudomonas aeruginosa), bacterial endospores (Bacillus subtilis and Bacillus pumilus), and various yeast and bacterial viruses on a variety of surfaces. These surfaces included polypropylene, filter paper, paper strips, solid culture media, and glass. Experimental results showed at least a ⩾5 log10 colony forming units (CFU) reduction in bacteria...

207 citations


Journal ArticleDOI
TL;DR: In this article, a two-step anodization process was used to oxidize aluminum in oxalic, sulfuric, and phosphoric acid solutions, and hexagonally ordered pore arrays were obtained within domains of a few micrometers, which are separated from neighboring domains with different orientation of the pore lattice.
Abstract: Nanopore arrays with 6×108–5×1010 cm−2 pore densities were fabricated by self-organized anodization on aluminum. A two-step anodization process was used to oxidize aluminum in oxalic, sulfuric, and phosphoric acid solutions. Hexagonally ordered pore arrays were obtained within domains of a few micrometers, which are separated from neighboring domains with different orientation of the pore lattice by domain boundaries, i.e., the nanopore arrays show characteristics analogous to two-dimensional polycrystalline structure. The interpore distance can be controlled by changing the electrolyte and/or the applied voltage.

168 citations


Journal ArticleDOI
TL;DR: In this article, the mass flow rate of rarefied gas through a long channel with a rectangular cross section has been calculated based on the model kinetic equation for the whole range of the Knudsen number and in the wide range of height-to-width ratio.
Abstract: The mass flow rate of a rarefied gas through a long channel with a rectangular cross section has been calculated based on the model kinetic equation for the whole range of the Knudsen number and in the wide range of the height-to-width ratio. First, the reduced flow rate through a cross section has been calculated as a function of the local rarefaction parameter assuming the pressure gradient to be small. A criterion of the lateral wall influence on the flow rate has been given. Then, the mass flow rate has been calculated as a function of the rarefaction parameters on the channel ends. The last result is obtained for any pressure ratio even if the flow varies along the channel from the hydrodynamic regime to the free molecular one.

166 citations


Journal ArticleDOI
TL;DR: In this paper, low temperature vacuum deposition of tungsten carbide coatings, W1−yCy with compositions that varied from y=0 to 0.9, was investigated.
Abstract: Low temperature vacuum deposition of tungsten carbide coatings, W1−yCy with compositions that varied from y=0 to 0.9, was investigated. Special attention was given to the production of nanocrystalline carbides with coatings of y>0.5. Previous attempts at producing WC with excess carbon at near room temperatures resulted in the formation of amorphous phases. In this study, crystalline WC was produced at 45 and 300 °C by the intersection of plasma fluxes from magnetron sputtering of tungsten and laser ablation of graphite. At both temperatures, formation of WC chemical bonding and nanocrystalline cubic β-WC1−x was observed using x-ray photoelectron spectroscopy and grazing angle x-ray diffraction when the carbon content was increased more than 30%. Increasing the substrate temperature to 300 °C did not affect the percentage of WC bonding, but it did promote considerable crystallization of cubic WC. As the carbon content was increased to more than 50%, a second phase consisting of amorphous carbon (a-C) was observed together with amorphitization of β-WC1−x. The a-C phase was identified as amorphous diamond-like carbon (DLC) by Raman spectroscopy. At 60–80 at. % C, a two phase structure was produced, which was composed of nanocrystalline β-WC1−x with 5–10 nm grains and amorphous DLC. The hardness of the WC/DLC composites was about 26 GPa based on nanoindentation tests. Correlation of the chemistry, microstructure, and mechanical properties of WC and WC/a-C coatings is discussed.

155 citations


Journal ArticleDOI
TL;DR: In this paper, the entropic trapping of long DNA was demonstrated in an artificial channel, fabricated by silicon-based lithography and etching techniques, which consisted of alternating thick and thin regions, where the thickness of the thin region was as small as 90 nm.
Abstract: Entropic trapping of long DNA was demonstrated in an artificial channel, fabricated by silicon based lithography and etching techniques. This channel consisted of alternating thick and thin regions, where the thickness of the thin region was as small as 90 nm. The electrophoretic mobility of long DNA molecules in this channel was measured as a function of the applied electric field. Because the radius of gyration of DNA used was much larger than the thin gap, DNA molecules were trapped when they moved from the thick to the thin region. This trapping determined the mobility of DNA in the system. Surprisingly, longer DNA molecules moved faster than shorter DNA molecules in the channel. This may be due to the fact that a larger DNA molecule has a better chance of escaping entropic traps because of the larger contact area with the thin slit. This device could enable fast manipulation and separation of long polymers.

146 citations


Journal ArticleDOI
TL;DR: In this article, a high density plasma helicon reactor using SF6/O2 chemistry and a cryogenic chuck has been used for etching very deep anisotropic trenches (∼100 μm) with high aspect ratios (depth/width) and high etch rates.
Abstract: We are interested in etching very deep anisotropic trenches (∼100 μm) with high aspect ratios (depth/width) (∼20–50) and high etch rates (∼5 μm/min). A high density plasma helicon reactor using SF6/O2 chemistry and a cryogenic chuck has been used for etching very narrow trenches from 1.2 to 10 μm wide on n-type Si wafers with a SiO2 mask. The first results show significant features that demonstrate the feasibility of this method. Two-micron-wide trenches have been etched to a depth of 80 μm at an average etch rate of 2.7 μm/min. The resulting profiles are highly anisotropic and selectivity of Si/SiO2 is remarkably high (>500).

137 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report on a fifth nitrogen coordination, (O)2=N-Si, with a nitrogen 1s binding energy of 402.8± 0.1 eV per oxygen atom.
Abstract: There has been a considerable effort in the past decade to incorporate nitrogen into SiO2 in order to improve the electrical properties of ultrathin (2–10 nm) gate oxides. Process conditions affect the nitrogen concentration, coordination, and depth distribution which, in turn, affect the electrical properties. X-ray photoelectron spectroscopy (XPS) is particularly well suited to obtaining the nitrogen coordination and, to a lesser extent, the nitrogen concentration in thin oxynitride films. To date, at least four different nitrogen coordinations have been reported in the XPS literature, all having the general formula: N(–SixOyHz), where x+y+z=3 and x⩽3, y⩽1, z⩽2. In this article we review the XPS literature and report on a fifth nitrogen coordination, (O)2=N–Si, with a nitrogen 1s binding energy of 402.8±0.1 eV. Next nearest neighbor oxygen atoms shifted the N(–Si)3 peak roughly 0.1 eV per oxygen atom. We also discuss results from a novel approach of determining the nitrogen areal density by XPS, the acc...

134 citations


Journal ArticleDOI
TL;DR: In this article, a pulsed magnetron sputtering technique was developed to increase the viability of producing fully dense, defect-free oxide coatings, which significantly increases the viability for producing this type of material.
Abstract: Alumina coatings offer the potential to protect metallic components operating in hostile corrosive, or oxidative environments. Until recently, though, the high rate deposition of fully dense, defect-free oxide coatings has proved extremely difficult. Oxide coatings can be produced by the reactive direct current (dc) sputtering of a metallic target in an oxygen atmosphere, or by radio frequency sputtering of an oxide target. The latter process results in very low deposition rates and is difficult to scale up for commercial applications. Reactive dc sputtering of highly insulating materials, such as alumina, is also problematic. The build up of an insulating layer on the surface of the target causes arcing. Arc events affect the stability of the deposition process and can adversely effect the structure and properties of the growing film. However, a new technique, pulsed magnetron sputtering, has been developed which significantly increases the viability of producing this type of material. It has been shown ...

Journal ArticleDOI
TL;DR: In this paper, the principal material property charge carrier mobility is measured, and it is shown that up to 100 cm2/V's can be achieved at room temperature and up to 1.5 cm2V/V at low temperature, if chemical purity and structural order can be brought to a very high level.
Abstract: Starting from the question, what fast electronic transport is based on, and why organic materials in general are more problematic in this respect than typical inorganic ones, methods are introduced that allow to measure the principal material property charge carrier mobility. Some representative experimental results are presented which prove that mobilities around 1–10 cm2/V s can be achieved at room temperature, and more than 100 cm2/V s at low temperature—but only if chemical purity and structural order can be brought to a very high level.

Journal ArticleDOI
TL;DR: In this article, the low-energy sputtering of boron nitride, magnesium oxide, aluminum nitride (BNAlN), and silicon oxide (BNSiO2) by xenon ions of bombarding energies 350, 500 eV, and 1 keV was studied experimentally.
Abstract: The low-energy sputtering of boron nitride, magnesium oxide, boron nitride and aluminum nitride (BNAlN), and boron nitride and silicon oxide (BNSiO2) by xenon ions of bombarding energies 350, 500 eV, and 1 keV was studied experimentally. In order to measure the ion current without being significantly disturbed by slow ions, only planar probes were used during short duration sputtering experiments (of the order of 10 h). Moreover, slow ion current contribution was estimated by numerical simulations and subtracted from each ion current measurement. It was found that the ion-beam incidence effect on sputtering yields was not as important as for theoretical results or experimental results on quasinonrough solid surfaces, for which it is possible to observe a more pronounced angular dependence of the sputtering yield. This phenomenon is due to surface irregularities of ceramic materials and because of surface roughness the macroscopic sputtering yield should actually result from the convolution of the microsco...

Journal ArticleDOI
TL;DR: In this paper, an atmospheric-pressure plasma jet was used to etch polyimide films at 1.0-8.2μm/min at 760 Torr and between 50 and 250 ˚C.
Abstract: An atmospheric-pressure plasma jet has been used to etch polyimide films at 1.0–8.0±0.2 μm/min at 760 Torr and between 50 and 250 °C. The plasma was produced by flowing helium and oxygen between two concentric electrodes, with the inner one coupled to 13.56 MHz rf power and the outer one grounded. The etch rate increased with the O2 partial pressure, the rf power and the substrate temperature. The apparent activation energy for etching was 0.16 eV. Langmuir-probe measurements revealed that the ion densities were between 1×1010 and 1×1011 cm−3, 5 mm from the end of the powered electrode. Biasing the substrate had no effect on the rate. Ozone, singlet sigma metastable oxygen (b 1Σg+), and singlet delta metastable oxygen (a 1Δg) were detected in the plasma by emission spectroscopy. More ozone was produced in the effluent through the recombination of O atoms with O2. Based on the production rate of O3, the concentration of O atoms 6 mm from the powered electrode was estimated to be ∼7×1014 cm−3 at 6.6 Torr O2...

Journal ArticleDOI
TL;DR: In this paper, a cascade analysis incorporating available transitional thermal transpiration and Poiseuille flow results for slender channels was carried out, and it was found that the Knudsen compressor is an attractive possibility for microscale pumps down to a pressure of about 1mTorr and for macroscale pumps to about 0.1 mTorr.
Abstract: Applications of Knudsen compressors as both microscale and macroscale vacuum pumps have been investigated. The study is based on a cascade analysis incorporating available transitional thermal transpiration and Poiseuille flow results for slender channels. It was found that the Knudsen compressor is an attractive possibility for microscale pumps down to a pressure of about 1 mTorr and for macroscale pumps to about 0.1 mTorr. A microscale pump for a micromass spectrometer providing a molecule flow rate of 5×1014 molecules/s results in the following pump characteristics: energy use of 2.4 W, pump volume of 13.9 ml at an inlet pressure of 1 mTorr and an energy use of 28.5 mW, and pump volume of 0.16 ml at an inlet pressure of 10 mTorr. A macroscale pump providing a pumping speed of 103 l/s results in a pump with an energy use of 1786 W, and pump volume of 1695 l at an inlet pressure of 0.1 mTorr. Several Knudsen compressor characteristics such as pressure rise, pumping speed, volume, energy use and mass flow...

Journal ArticleDOI
TL;DR: In this paper, the spatial nature of trace Na and O impurities in thin films of photovoltaic-grade CuInSe2 thin films was investigated using field-emission Auger electron spectroscopy.
Abstract: The authors use field-emission Auger electron spectroscopy to investigate the spatial nature of trace Na and O impurities in thin films of photovoltaic-grade CuInSe2 thin films. They give the first direct proof that Na and O reside at grain surfaces and not in the grain interiors of CuInSe2 (CIS) thin films, and discuss the improvement in photovoltaic conversion efficiency of CIS with Na.

Journal ArticleDOI
TL;DR: In this article, a soft x-ray photoelectron spectroscopy (SXPS) was used to study ultrathin (9-22 A) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation.
Abstract: Device-grade ultrathin (9–22 A) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation, are studied by soft x-ray photoelectron spectroscopy (SXPS). The 2p core-level spectra for silicon show evidence of five distinct states of Si, attributable to the five oxidation states of silicon between Si0 (the Si substrate) and Si4+ (the thin SiO2 film). The relative binding energy shifts for peaks Si1+ through Si4+ (with respect to Si0) are in agreement with earlier work. The relatively weaker signals found for the three intermediate states (I1, I2, and I3) are attributed to silicon atoms at the abrupt interface between the thin SiO2 film and substrate. Estimates of the interface state density from these interface signals agree with the values reported earlier of ∼2 monolayers (ML). The position and intensity of the five peaks are measured as a function of post-growth annealing temperature, crystal orientation, and exposure to He/N2 plasma. We find that annealing produces more abrup...

Journal ArticleDOI
TL;DR: In this paper, the authors present device results from polysilicon thin film transistors (TFTs) fabricated at a maximum temperature of 100°C on polyester substrates.
Abstract: We present device results from polysilicon thin film transistors (TFTs) fabricated at a maximum temperature of 100 °C on polyester substrates. Critical to our success has been the development of a processing cluster tool containing chambers dedicated to laser crystallization, dopant deposition, and gate oxidation. Our TFT fabrication process integrates multiple steps in this tool, and uses the laser to crystallize deposited amorphous silicon as well as create heavily doped TFT source/drain regions. By combining laser crystallization and doping, a plasma enhanced chemical vapor deposition SiO2 layer for the gate dielectric, and postfabrication annealing at 150 °C, we have succeeded in fabricating TFTs with ION/IOFF ratios >5×105 and electron mobilities >40 cm2/V s on polyester substrates.

Journal ArticleDOI
TL;DR: In this article, the optical functions of AlN thin films deposited on the quartz substrates by the reactive radio frequency magnetron sputtering were determined from the transmittance and reflectance spectra in the range of 190-820 nm.
Abstract: The optical functions of AlN thin films deposited on the quartz substrates by the reactive radio frequency magnetron sputtering, such as refractive index, extinction coefficient, optical band gap, and film thickness were determined from the transmittance and reflectance spectra in the range of 190–820 nm. For these analyses, an inverse synthesis method was established after literature survey. The results were doublechecked with a modified envelope method, and compared with those of previous reports. Spectroscopic ellipsometry analyses were performed to confirm the accuracy of the methods. Refractive indices of AlN films in this study were in the range of 1.95–2.05 at 633 nm and 2.26–2.38 at 250 nm, depending on the preparation conditions. The extinction coefficients were small (<5×10−4) and nearly constant at low energy region (<2 eV), but exhibited various dispersion features at 2.2–3.5 eV, indicating different amount and kinds of defects of AlN films. The absorption coefficient at near-band-gap energy e...

Journal ArticleDOI
TL;DR: In this article, the dependence of adequate discharging and thus arc prevention on the duration of the “on” and “off” periods is examined, and the dynamics of plasma density loss in the ‘off’ period and its reestablishment in the initial part of the 'on' period are discussed.
Abstract: Application of steady direct current (DC) power to the reactive sputtering of dielectrics such as Al2O3 is seriously hampered by arcing. This arcing can be alleviated to a great extent when pulsed dc power is applied. By pulsed dc power we mean that the power is applied for a short “on” period, and then removed for a short “off” period. During the “off” period the plasma can discharge the surfaces, provided certain conditions are met. The dependence of adequate discharging, and thus arc prevention, on the duration of the “on” and “off” periods is examined. In addition, the dynamics of plasma density loss in the “off” period and its reestablishment in the initial part of the “on” period are discussed. Reactive sputtering takes place only during the “on” period, and part of this period is lost for effective sputtering due to the time required for full plasma reestablishment. This produces a dependence of the deposition rate on both the duty cycle and the frequency of pulsing, but not on the power consumed. ...

Journal ArticleDOI
TL;DR: The stability of a number of transparent conducting oxide (TCO) films prepared by magnetron sputtering has been tested in various atmospheres at high temperatures up to 1000°C as discussed by the authors.
Abstract: The stability of a number of transparent conducting oxide (TCO) films prepared by magnetron sputtering has been tested in various atmospheres at high temperatures up to 1000 °C: binary compounds such as ZnO, In2O3, and SnO2; ternary compounds such as Zn2In2O5, In4Sn3O12, GaInO3, ZnSnO3, and MgIn2O4; and multicomponent oxides composed of combinations of binary compounds or ternary compounds. With regard to the stability of the electrical properties, In2O3, ITO, SnO2, and SnO2:Sb films were stable in an Ar gas atmosphere at temperatures up to 900 °C. SnO2 and SnO2:Sb films were stable in air at temperatures up to 900 °C, and In2O3 and ITO were stable in air at temperatures up to 800 °C. Although the ZnO:Al film was stable in an Ar gas atmosphere at temperatures up to 600 °C, it was unstable in air at temperatures above 400 °C. The stability improved as the Sn content in Sn containing TCO films was increased, but it lessened as the Zn, Mg, and/or Ga contents were increased. In addition, the chemical stabilit...

Journal ArticleDOI
TL;DR: The structural properties of chemically prepared GaAs(100) surfaces were found to be similar to those obtained by molecular-beam epitaxy-growth and by decapping of As-capped epitaxial layers as mentioned in this paper.
Abstract: The GaAs(100) surfaces chemically treated in HCl-isopropanol solution and annealed in vacuum were studied by means of Auger electron spectroscopy, x-ray photoelectron spectroscopy, high-resolution electron energy-loss spectra, and Low-energy electron diffraction (LEED). Chemical treatment and sample transfer into ultrahigh vacuum were performed under nitrogen atmosphere. The HCl-isopropanol treatment removes gallium and arsenic oxides from the surface, with about 2 monolayers of excess arsenic being left on it. The residual carbon contaminations were around 0.2–0.4 ML and consisted of the hydrocarbon molecules. These hydrocarbon contaminations were removed from the surface together with the excess arsenic by vacuum annealing at 300–420 °C. With increased annealing temperature, a sequence of six reconstructions were identified by LEED: (1×1), (2×4)/c(2×8), (2×6), (3×6), (4×1) and c(8×2) in the temperature intervals of 250–400, 420–480, 480–500, 500–520, 520–560 and 560–600 °C, respectively. All surface reconstructions were irreversible. The structural properties of chemically prepared GaAs(100) surfaces were found to be similar to those obtained by molecular-beam epitaxy-growth and by decapping of As-capped epitaxial layers.

Journal ArticleDOI
TL;DR: In this article, the authors used the X-TEM technique to investigate the sidewall damage in crystalline Si and found that the damaged layer was about 20 nm thick in the case of 30-keV-FIB etching.
Abstract: In focused ion beam (FIB) fabrication of cross-sectional transmission electron microscopy (X-TEM) specimens, highly accelerated ion beams sometimes cause serious damage. The damage can be induced in both the specimen surface and the side walls. We used the X-TEM technique to investigate the sidewall damage in crystalline Si. The damaged layer was found to be about 20 nm thick in the case of 30-keV-FIB etching. We evaluated several techniques for reducing the damage, such as gas-assisted etching (GAE) with iodine, low-energy FIB etching, and cleaning by broad argon ion milling or by wet etching. The damage depth was 19 nm for GAE and 10 nm for 10 keV FIB etching, and was reduced to 7 nm by 3 keV argon ion milling with a beam current of 20 μA and a tilt angle between the beam and the specimen of 4°. Wet etching using a mixture of nitric and hydrofluoric acid removed most of the damaged layer. The effect of the damaged layer on TEM observation was also investigated, and it was shown that removal of the damag...

Journal ArticleDOI
TL;DR: In this paper, a highly selective dry etching process for the removal of silicon nitride (Si3N4) layers from silicon and silicon dioxide (SiO2) was described and its mechanism examined.
Abstract: A highly selective dry etching process for the removal of silicon nitride (Si3N4) layers from silicon and silicon dioxide (SiO2) is described and its mechanism examined. This new process employs a remote O2/N2 discharge with much smaller flows of CF4 or NF3 as a fluorine source as compared to conventional Si3N4 removal processes. Etch rates of Si3N4 of more than 30 nm/min were achieved for CF4 as a source of fluorine, while simultaneously the etch rate ratio of Si3N4 to polycrystalline silicon was as high as 40, and SiO2 was not etched at all. For NF3 as a fluorine source, Si3N4 etch rates of 50 nm/min were achieved, while the etch rate ratios to polycrystalline silicon and SiO2 were approximately 100 and 70, respectively. In situ ellipsometry shows the formation of an approximately 10-nm-thick reactive layer on top of the polycrystalline silicon. This oxidized reactive layer suppresses etching reactions of the reactive gas phase species with the silicon.

Journal ArticleDOI
TL;DR: In this article, the vapor deposition of Au onto a TiO2(110) surface using x-ray photoelectron spectroscopy, low energy ion scattering and low energy electron diffraction, and temperature programmed description (TPD) was studied.
Abstract: Very tiny Au particles on TiO2 show excellent activity and selectivity in a number of oxidation reactions. We have studied the vapor deposition of Au onto a TiO2(110) surface using x-ray photoelectron spectroscopy, low energy ion scattering, low energy electron diffraction, and temperature programmed description (TPD) and found that we can prepare Au islands with controlled thicknesses from one to several monolayers. In order to understand at the atomic level the unusual catalytic activity in oxidation reactions of this system, we have studied oxygen adsorption on Au/TiO2(110) as a function of Au island thickness. A hot filament was used to dose gaseous oxygen atoms, since O2 gas does not readily dissociatively adsorb on Au. The saturation coverage of oxygen adatoms on Au particles approaches 1.0 ML, similar to that found on bulk Au(110) and (111). TPD results show higher desorption temperatures (645 K) for ultrathin gold particles on TiO2(110) than thicker particles (520–545 K). This implies that Oa bond...

Journal ArticleDOI
TL;DR: In this article, a simple flow conductance model explains most of the observed aspect ratio and feature size dependence of deep silicon etching in an inductively coupled plasma (ICP) reactor.
Abstract: Deep silicon etching in an inductively coupled plasma (ICP) reactor offers a high etch rate (7 μm/min), nearly vertical profile with simple oxide masking. Test structures with patterns of different sizes (from a few microns to over 100 μm) and shapes (square and circular holes and trenches of variable width/length) have been etched to depths up to 500 μm. Long narrow features are etched faster than wide short features, indicating the three-dimensional nature of the reactive ion etching lag. Experiments have been done for many different etch times in order to understand aspect ratio dependence of deep etching. Simple flow conductance model explains most of the observed aspect ratio and feature size dependence.

Journal ArticleDOI
TL;DR: In this article, a retarding field ion energy analyzer was designed and built to measure the energy distribution of ions bombarding the wafer surfaces placed on radio frequency (rf) biased electrodes in high-density plasma reactors.
Abstract: A compact retarding field ion energy analyzer has been designed and built to measure the energy distribution of ions bombarding the wafer surfaces placed on radio frequency (rf) biased electrodes in high-density plasma reactors. The analyzer was used to measure the energy distribution of ions impinging on the rf-biased electrostatic chuck in a high-density transformer coupled plasma (TCP) reactor. The effects of TCP power, rf bias, gas composition, and ion mass on the ion energy distributions (IEDs) were demonstrated through Ar, Ne, Ar/Ne, O2 and CF4/O2 discharges. In the operating range studied, the average ion energy increased linearly with increasing rf bias while the ion flux remained constant indicating that independent control of ion flux and energy was achieved in the TCP reactor. Bimodal ion energy distributions resulting from ion energy modulation in the sheath were observed and multiple peaks in the IEDs measured in gas mixtures were identified as ions with different masses falling through the s...

Journal ArticleDOI
TL;DR: In this paper, the basic issues associated with semiconductor wafer bonding such as the reactions at the bonding interface during hydrophobic and hydrophilic bonding, as well as during ultrahigh vacuum bonding are discussed.
Abstract: Semiconductor wafer bonding has increasingly become a technology of choice for materials integration in microelectronics, optoelectronics, and microelectromechanical systems. The present overview concentrates on some basic issues associated with wafer bonding such as the reactions at the bonding interface during hydrophobic and hydrophilic wafer bonding, as well as during ultrahigh vacuum bonding. Mechanisms of hydrogen-implantation induced layer splitting (“smart-cut” and “smarter-cut” approaches) are also considered. Finally, recent developments in the area of so-called “compliant universal substrates” based on twist wafer bonding are discussed.

Journal ArticleDOI
TL;DR: In this article, the authors characterized the contributions of the mass spectrometer signal from the line-of-sight "beam" component and the background component of the reactive species in the ionizer of the massive mass analyzer.
Abstract: Mass spectrometry and appearance potential mass spectrometry (APMS) have recently gained importance for detection and quantitative measurements of reactive radical species in plasmas using line-of-sight sampling of reactive species. In this work, we have characterized the contributions to the mass spectrometer signal from the line-of-sight “beam” component and the background component of the species in the ionizer of the mass spectrometer. The beam signal is proportional to the number density of the species in the plasma, while the background component of the signal depends on various factors like the vacuum system design and pump speeds. Single differential pumping of the mass spectrometer is found to be inadequate as the background signal dominates the beam signal for radical and stable neutral species. The beam to background ratio for CFx (x=1–3) radicals is smaller than 0.25 and the large background signals of the species of interest necessitates implementation of modulated beam mass spectrometry usin...

Journal ArticleDOI
TL;DR: In this article, a two-layer model of vacancy distribution has been established: a layer of high vacancy concentration (1019 cm−3) up to a depth of 20 nm is followed by a second layer that extends over 1 μm with a vacancy concentration of 1016 cm−3.
Abstract: New concepts in silicon solar cell design require dry processing technologies. For this reason two reactive ion etching (RIE) processes have been developed: one for surface cleaning and one for the removal of phosphorous glass (PSG). However, damage is induced in silicon during reactive ion etching which deteriorates solar cell performance. Damage caused by SF6 RIE cleaning has been investigated by means of secondary ion mass spectroscopy, positron annihilation, and minority charge carrier lifetime measurements. Particles contained in the etch gas can be detected up to a depth of 50–80 nm in the silicon sample. A two layer model of vacancy distribution has been established: A layer of high vacancy concentration (1019 cm−3) up to a depth of 20 nm is followed by a second layer that extends over a depth of 1 μm with a vacancy concentration of 1016 cm−3. Effective minority charge carrier lifetimes decrease to about 10% of the lifetime of the wet etched control during RIE. If a heavily damaged layer of 20 nm i...