scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 2001"


Journal ArticleDOI
TL;DR: The HiPco process has been used to produce high-purity carbon single-walled nanotubes (SWNTs) using a gas-phase chemical-vapor-deposition process as mentioned in this paper.
Abstract: We have demonstrated large-scale production (10 g/day) of high-purity carbon single-walled nanotubes (SWNTs) using a gas-phase chemical-vapor-deposition process we call the HiPco process. SWNTs grow in high-pressure (30–50 atm), high-temperature (900–1100 °C) flowing CO on catalytic clusters of iron. The clusters are formed in situ: Fe is added to the gas flow in the form of Fe(CO)5. Upon heating, the Fe(CO)5 decomposes and the iron atoms condense into clusters. These clusters serve as catalytic particles upon which SWNT nucleate and grow (in the gas phase) via CO disproportionation: CO+CO⇒CO2+C(SWNT). SWNT material of up to 97 mol % purity has been produced at rates of up to 450 mg/h. The HiPco process has been studied and optimized with respect to a number of process parameters including temperature, pressure, and catalyst concentration. The behavior of the SWNT yield with respect to various parameters sheds light on the processes that currently limit SWNT production, and suggests ways that the producti...

704 citations


Journal ArticleDOI
TL;DR: A brief overview of technical aspects of infrared-visible sum frequency generation, comparing this nonlinear technique with its linear analogs, and highlights the latest applications can be found in this article.
Abstract: During the past decade vibrational sum frequency generation as a method to study interfaces has matured and can now be applied more routinely to systems of increasing complexity. The article provides a brief overview of technical aspects of infrared–visible sum frequency generation, compares this nonlinear technique with its linear analogs, and highlights the latest applications.

244 citations


Journal ArticleDOI
TL;DR: The preparation of transmission electron microscopy cross-section specimens using focused ion beam milling using the “liftout” and “trench” techniques are outlined, and their relative advantages and disadvantages are discussed.
Abstract: The preparation of transmission electron microscopy cross-section specimens using focused ion beam milling is outlined. The “liftout” and “trench” techniques are both described in detail, and their relative advantages and disadvantages are discussed. Artifacts such as ion damage to the top surface and sidewalls of the cross-section specimens, and methods of reducing them, are addressed.

234 citations


Journal ArticleDOI
TL;DR: In this article, noncrystalline Al2O3 dielectric films have been synthesized by remote plasma enhanced chemical vapor deposition (RPECVD) and deposited on Si(100) substrates using organometallic source gases injected downstream from a He/O2 plasma.
Abstract: Noncrystalline Al2O3 dielectric films have been synthesized by remote plasma enhanced chemical vapor deposition (RPECVD) and deposited on (i) H-terminated Si(100) and (ii) on SiO2 prepared by remote plasma assisted oxidation and RPECVD on Si(100) substrates using organometallic source gases injected downstream from a He/O2 plasma. Chemical composition and morphology of the Al2O3 films and their interfaces have been studied by Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy, nuclear resonance profiling (NRP), and x-ray diffraction (XRD). Previous studies in which Al2O3 was deposited by thermal CVD, rapid thermal CVD, (RTCVD), direct PECVD, and physical vapor deposition generally resulted in relatively thick SiO2 or Al-silicate interfacial layers which impact adversely on the highest attainable capacitance. In line AES and NRP indicate the as-deposited RPECVD films are fully oxidized on deposition, and their interfaces can be chemically abrupt with Si oxide or Al silicate interfac...

178 citations


Journal ArticleDOI
M. Chen, Z. L. Pei, X. Wang, Congting Sun, Lishi Wen 
TL;DR: In this paper, the effect of oxygen flow rate, target to substrate distance, substrate temperature, and Al doping content on the structural, electrical and optical properties of ZnO were investigated.
Abstract: ZnO:Al/(ZaO) films were deposited on quartz substrates by dc magnetron reactive sputtering from a Zn target mixed with Al. The effect of oxygen flow rate, target to substrate distance, substrate temperature, and Al doping content on the structural, electrical and optical properties of ZAO were investigated. It was observed that the (002) peak position of all films shifts to lower angle comparable to that of bulk ZnO due to the residual stress change with deposition parameters. X-ray photoemission spectroscopy was introduced to analyze the chemical state of Al on the film surface and the results show Al enrichment. The dependences of electrical properties such as resistivity, carrier concentration and Hall mobility on substrate temperature, and Al doping content were measured. The visible transmittance of above 80% and infrared reflectance of above 80% were obtained. The minimum resistivity is 4.23×10−4 Ω cm with the carrier concentration of 9.21×1020 cm−3 and Hall mobility of 16.0 cm2 v1 s−1. The optical ...

163 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of changing the aluminum-to-zinc ratio from 0 to 5 at.8 at. % and annealing temperature from 0°C to 700°C in air has been investigated.
Abstract: Highly conductive and transparent aluminum-doped zinc oxide thin films have been prepared from the solution of zinc acetate and aluminum nitrate in ethanol by the sol–gel process. The effect of changing the aluminum-to-zinc ratio from 0 to 5 at. % and annealing temperature from 0 °C to 700 °C in air has been investigated. The resistivities of thin films were measured as a function of annealing temperature and also as a function of aluminum dopant concentration in the solution. As-deposited films have high resistivity and high optical transmission. Annealing of the as-deposited films in air leads to a substantial reduction in resistivity. The films have a minimum value of resistivity of 1.5×10−4 Ω cm for 0.8 at. % aluminum-doped zinc oxide and a maximum transmission of about 91% when deposited on glass substrates. X-ray diffraction measurements employing Cu Kα radiation were performed to determine the crystallinity of the ZnO:Al films which showed that the films were polycrystalline with a hexagonal structure when annealed at 500 °C.

161 citations


Journal ArticleDOI
TL;DR: In this article, a surface reaction mechanism for fluorocarbon plasma etching of SiO2 has been developed, which describes the polymerization process as resulting from neutral sticking, ion sputtering, F atom etching, and low-energy ion assisted deposition.
Abstract: During fluorocarbon plasma etching of SiO2, a polymer passivation layer is generally deposited on the surface of the wafer The polymer layer regulates the etch by limiting the availability of activation energy and reactants, and providing the fuel for removal of oxygen To investigate these processes, a surface reaction mechanism for fluorocarbon plasma etching of SiO2 has been developed The mechanism describes the polymerization process as resulting from neutral sticking, ion sputtering, F atom etching, and low-energy ion assisted deposition The etch mechanism is a multistep passivation process which results in consumption of both the polymer and the wafer The surface mechanism was incorporated into an equipment scale simulator to investigate the properties of SiO2 etching in an inductively coupled C2F6 discharge, and predicts that the SiO2 etch rate saturates at high substrate biases due to the depletion of passivation Experimental results for SiO2 etch rates and selectivity of SiO2 over Si as a fu

152 citations


Journal ArticleDOI
TL;DR: In this paper, the authors measured the etching yields of silicon in F2, Cl2, Br2, and HBr high density plasmas as a function of ion bombardment energy, ion bombardment angle, and plasma composition.
Abstract: Etching yields of silicon in F2, Cl2, Br2, and HBr high density plasmas have been measured as a function of ion bombardment energy, ion bombardment angle, and plasma composition. This information contributes to a database of experimental values needed for feature profile evolution modeling. For all plasma chemistries, the etching yield increases approximately with the square root of ion energy. Pure Cl2 and pure HBr plasmas have very similar etching yields. Silicon etching rates are lower in HBr plasmas than in Cl2 plasmas due to lower ion fluxes, not lower etching yields. The dependence of the etching yield on ion bombardment angle is significantly different for Cl2 and HBr plasmas. The etching yield in Cl2 plasmas decreases rapidly for ion angles above 60° (measured from the surface normal), which results in significant ion scattering from the sidewalls, and may cause the sidewall bowing and microtrenching seen when patterning polysilicon with Cl2 plasmas. The etching yield in HBr plasmas decreases more...

114 citations


Journal ArticleDOI
TL;DR: In this paper, the authors measured the band offsets and materials properties of epitaxial SrTiO3/Si(001) heterojunctions for both n and p substrates, with and without an interfacial SiO2 layer.
Abstract: We have measured the band offsets and materials properties of epitaxial SrTiO3/Si(001) heterojunctions for both n and p substrates, with and without an interfacial SiO2 layer. The through-air transfer from the growth chamber to the photoemission system results in significant surface hydroxylation and roughening, although the SrTiO3–Si interface is undisturbed. Surface hydroxylation notwithstanding, the structural quality of 20 A thick epitaxial SrTiO3 on Si(001) is comparable to that of bulk SrTiO3(001). We find valence and conduction band offsets of ∼2.1 and ∼0.0 eV, respectively, independent of doping type and the presence of SiO2. These results are consistent with theoretical band offset predictions based on the electron affinity rule, modified by the presence of an interface dipole.

109 citations


Journal ArticleDOI
TL;DR: In this article, a detailed chemical reaction mechanism was described that describes the C2F6 and CHF3 plasma etching of silicon dioxide, which is widely used in the fabrication of microelectronic devices.
Abstract: A detailed chemical reaction mechanism is reported that describes the C2F6 and CHF3 plasma etching of silicon dioxide, which is widely used in the fabrication of microelectronic devices. The gas-phase part of the C2F6 mechanism involves 28 species and 132 reactions, while the surface part involves 2 materials, 6 species, and 85 reactions. Rate parameters are generally taken from independent studies in the literature, or estimated from rates measured for related species. Zero-dimensional simulations using these mechanisms compare well with a large body of etch rate and diagnostic measurements in three different high-density plasma reactors. The diagnostic measurements include electron and negative ion absolute densities, CF, CF2, and SiF densities, gas temperatures, and ion current densities. An analysis of the dominant reaction paths shows the importance of gas-phase electron impact reactions and the need to include reactions of the etch-product species. On the surface, the etching reactions are dominated...

107 citations


Journal ArticleDOI
TL;DR: In this article, a well-ordered amino-terminated self-assembled monolayers (SAMs) were reproducibly prepared on Si substrates covered with native oxide in a vapor of 12.5 volÊ% solution of N-(6- aminohexyl)-3-aminopropyltrimethoxysilane (H2N(CH2)6NHCH2CH2 CH2Si(OCH3)3,AHAPS) diluted with absolute toluene.
Abstract: Well-ordered amino-terminated self-assembled monolayers (SAMs) were reproducibly prepared on Si substrates covered with native oxide in a vapor of 12.5 vol % solution of N-(6- aminohexyl)-3-aminopropyltrimethoxysilane (H2N(CH2)6NHCH2CH2CH2Si(OCH3)3,AHAPS) diluted with absolute toluene. Although aggregated AHAPS molecules were excessively adsorbed on the deposited AHAPS-SAM films, they were removed by sonication in ethanol, toluene, NaOH, and HNO3 aqueous solutions, conducted in that order. The thickness of the AHAPS-SAM as estimated by ellipsometry was 1.3±0.1 nm. The AHAPS-SAM surfaces observed by atomic force microscopy appeared very smooth with a root mean square roughness of about 0.15 nm in a several micron square area. This resulted in low hysteresis between the advancing and receding water-contact angles, which were determined to be 62±3° and 57±2°, respectively. Micropatterning of the SAM was also demonstrated on the basis of photolithography using an excimer lamp radiating vacuum ultraviolet ligh...

Journal ArticleDOI
TL;DR: In this paper, the authors studied the mechanisms influencing the growth of thin films on an oblique rotating substrate by cross-sectional transmission electron microscopy and scanning electron imaging (SEM).
Abstract: We have studied the mechanisms influencing growth of thin films onto an oblique rotating substrate by cross-sectional transmission electron microscopy and scanning electron microscopy. We have analyzed the growth of pillars and helices in random and regular arrays, and have examined the influence of introducing a line of missing nuclei on the growth of regular array of pillars and helices.

Journal ArticleDOI
TL;DR: In this article, the electrical and spectroscopic properties of cobalt-nickel oxide films have been studied as a function of the x=Co/(Co+Ni) ratio, and an increase in film resistivity was found upon substitution of other cations (Zn2+,Al3+,…) for Ni in the spinel structure.
Abstract: Cobalt–nickel oxide films of nominal 100 nm thickness, and resistivity on the order of 10−2 Ω cm have been deposited by spin casting from both aqueous and organic precursor solutions followed by annealing at 450 °C in air. Films deposited on sapphire substrates exhibit a refractive index of about 1.7 and are relatively transparent in the wavelength region from 0.6 to 10.0 μm. They are also magnetic. The electrical and spectroscopic properties of the oxides have been studied as a function of the x=Co/(Co+Ni) ratio. An increase in film resistivity was found upon substitution of other cations (Zn2+, Al3+,…) for Ni in the spinel structure. However, some improvement in the mechanical properties of the films resulted. A combination of x-ray diffraction, x-ray photoelectron spectroscopy, UV/Vis, and Raman spectroscopy indicated that NiCo2O4 is the primary conducting component and that the conductivity reaches a maximum at this stoichiometry. When x 0....

Journal ArticleDOI
TL;DR: In this article, molecular dynamics simulations of silicon (Si) and silicon dioxide (SiO2) etching by energetic halogen (fluorine or chlorine) atoms in the energy range of 50-150 eV are performed using new sets of interatomic potentials for Si-O-F and Si-Cl systems.
Abstract: Molecular dynamics simulations of silicon (Si) and silicon dioxide (SiO2) etching by energetic halogen (fluorine or chlorine) atoms in the energy range of 50–150 eV are performed using new sets of interatomic potentials for Si–O–F and Si–O–Cl systems. Etch rates and selectivities obtained from numerical simulations are compared with available experimental data. Etching mechanisms in the atomic scale, especially the difference between chlorine and fluorine direct ion etching characteristics, are discussed on the basis of the simulation results.

Journal ArticleDOI
TL;DR: In this article, the relationship between reactive species flux and their modified surfaces was studied in a SiO2 highly selective etching over Si and Si3N4, where sample specimens with large patterns and φ 0.35 μm contact holes were etched using C4F8/Ar/O2 plasma in a dual-frequency (27/0.8 MHz) parallel-plate etching system.
Abstract: The relationship between reactive species flux and their modified surfaces was studied in a SiO2 highly selective etching over Si and Si3N4. Sample specimens with large patterns and φ 0.35 μm contact holes were etched using C4F8/Ar/O2 plasma in a dual-frequency (27/0.8 MHz) parallel-plate etching system. The amount of CFx reactive species was controlled by adjusting the C4F8 flow rate ratio while keeping the ion flux (3×1016 cm−2 s−1) and the Vpp of bias radio frequency (1450 V) constant. The highly selective etch process is attained in a certain condition of the radical flux. Quantitative analysis using x-ray photoelectron spectroscopy revealed that the etch rate strongly depended on the fluorocarbon (CF) film thickness formed during the etch reaction on SiO2, Si3N4, and Si. In the large-area-etching of Si and Si3N4, the CF film (< 2 nm) formed under conditions with low selectivity for SiO2 was thinner than the film (5–6 nm) formed in high-selectivity etch conditions. The CF film thickness on SiO2 were l...

Journal ArticleDOI
TL;DR: In this paper, the authors describe a microcantilever calorimeter consisting of an array of ten cantilevers, each of which is capable of detecting heat energy with the resolution of 50 nW/Hz (−0.5).
Abstract: We describe a microcantilever calorimeter consisting of an array of ten cantilevers. Each single cantilever is capable of detecting heat energy with the resolution of 50 nW Hz(−0.5). The device is based on a Si microcantilever coated with a 1 μm thick layer of SiO2 deposited with a 700 nm thick layer of aluminum which forms a resistive microheater. Heat fluxes are monitored by detecting the cantilever deflection (bending) due to the bimaterial structure of the cantilever (dissimilar thermal expansion properties of SiO2 and Al). The resistive microheater serves for calibration of the heat flux and for temperature sensing. In our design a piezoresistive Wheatstone bridge detector is applied for measurements of the cantilever beam deflection. The cantilever displacement detection system enables investigations in ultrahigh vacuum and low temperature conditions. The microcantilevers are manufactured in a one-dimensional array having ten individual microcantilevers which is the first step in the fabrication of an infrared detector array with spatial resolution. The displacement sensitivity versus temperature change of the described sensor array as a function of temperature change is of about 2 nm/K and an estimated resolution limit of temperature detection is ≈10−3 K at 300 K. In order to demonstrate the cantilever bending sensitivity we employ the piezoresistive cantilever array as a picogram microbalance.

Journal ArticleDOI
TL;DR: The concept of the active surface was introduced in this article, which is the surface on which transfer of momentum, energy, and mass from the gas to the particle takes place, i.e., the area on which the transfer of mass, momentum, and energy from a gas to a nanoparticle takes place.
Abstract: The dynamics of nanoparticles in a carrier gas are governed by the physical and chemical nature of the surface. The total surface area can be divided into an “active” and a “passive” part. The active surface is the surface on which transfer of momentum, energy, and mass from the gas to the particle takes place. The experiments show that the active surface may be determined in physically very different in situ experiments such as measuring the mobility b, the diffusion constant D, or the mass transfer coefficient K of the particle. The concept of the active surface manifests itself in scaling laws Kb=const, KD=const, and Yb=const, found valid over a large range of particle shapes and sizes. Y is the yield of low energy photoelectrons from the particles upon irradiating the carrier gas with light of energy below the ionization energy of the carrier gas molecules but above the photoelectric threshold of the particles. While K, D and b are independent of the chemical nature of the particles as far as we know ...

Journal ArticleDOI
TL;DR: A technique to measure the static friction forces encountered in surface micromachined micromotors using a commercial atomic force microscope (AFM)/friction force microscope has been developed and is described in this paper.
Abstract: A technique to measure the static friction forces (stiction) encountered in surface micromachined micromotors using a commercial atomic force microscope (AFM)/friction force microscope has been developed and is described. An AFM tip is pushed against a rotor arm of the micromotor so as to generate lateral deflection (torsion) of the tip, which is measured by the AFM. The maximum value of the lateral deflection obtained prior to rotor movement (rotation) is a measure of the static friction force of the micromotors. This technique was employed to study the effect of humidity and rest time on the static friction force of polysilicon motors, both unlubricated and lubricated using perfluoropolyether lubricants. Surface roughness parameters (rms, peak-to-valley distance, skewness, and kurtosis) and microscale friction properties of the various surfaces of the motor were measured. Dramatic differences between the roughness of the underside and top surfaces of the rotor and between the surface beneath the rotor and adjacent areas were observed. The mechanisms responsible for stiction in such devices are discussed. Lubrication methods to minimize friction problems are also presented.

Journal ArticleDOI
TL;DR: In this article, a high-resolution optical emission spectroscopy (OES) system is used to provide the necessary sensitivity for detecting subtle endpoint signals, and principal component analysis was used to analyze the OES data and extract key components that capture the endpoint signal.
Abstract: This article proposes a new approach for etch endpoint detection of small open area wafers. The traditional endpoint detection technique uses a few manually selected wavelengths, which are adequate for large open areas. As the integrated circuit devices continue to shrink in geometry and increase in device density, detecting the endpoint for small open areas presents a serious challenge to process engineers. In this work, a high-resolution optical emission spectroscopy(OES) system is used to provide the necessary sensitivity for detecting subtle endpoint signals. Principal component analysis is used to analyze the OES data and extract key components that capture the endpoint signal. Data analysis from many wafers shows that the endpoint pattern in the principal components is repeatable. Two methods are used to select wavelengths so as to improve reliability and reduce susceptibility to noise. The first method is to remove those spectrum windows that contain no endpoint information. The second method is to use a “sphere” criterion to select the most relevant wavelengths. The final endpoint algorithm using a much-reduced number of wavelengths shows more distinguishable and reliable endpoint features.

Journal ArticleDOI
TL;DR: In this article, a comparison of physicochemical properties of polyethylene glycol (MW 1500) films by means of Fourier transform infrared spectroscopy, electrospray ionization mass spectrometry, and matrix assisted laser desorption and ionization is presented.
Abstract: Thin films of polyethylene glycol (MW 1500) have been prepared by pulsed-laser deposition (PLD) using both a tunable infrared (λ=2.9 μm, 3.4 μm) and an ultraviolet laser (λ=193 nm). A comparison of the physicochemical properties of the films by means of Fourier transform infrared spectroscopy, electrospray ionization mass spectrometry, and matrix-assisted laser desorption and ionization shows that when the IR laser is tuned to a resonant absorption in the polymer, the IR PLD thin films are identical to the starting material, whereas the UV PLD show significant structural modification. These results are important for several biomedical applications of organic and polymeric thin films.

Journal ArticleDOI
TL;DR: In this article, the etch rates and possible mechanisms for a high-k dielectric, Zr1−xAlxOy (x≈0.2), in plasmas containing a mixture of Cl2 and BCl3, as a function of gas composition and ion impact energy were investigated.
Abstract: As new, advanced high-k dielectrics are being developed to replace SiO2 in future generations of microelectronics devices, understanding their etch characteristics becomes vital for integration into the manufacturing process. We report on the etch rates and possible mechanisms for one such dielectric, Zr1−xAlxOy (x≈0.2), in plasmas containing a mixture of Cl2 and BCl3, as a function of gas composition and ion impact energy. Higher concentrations of BCl3 enhance the etch rate as well as selectivity of Zr1−xAlxOy etching as compared to the etching of α-Si, whereas increasing ion energy increases the etching rates but decreases selectivity. In a high density helical resonator plasma, etching rates on the order of 700 A/min and 1:1 selectivity are typical. Angle-resolved x-ray photoelectron spectroscopy was used to study the composition of the upper ∼30 A of the film, before and at the end of the etching process. We found that the etching rate of Zr1−xAlxOy does not change with time for the range of Cl2/BCl3 ...

Journal ArticleDOI
TL;DR: In this article, an inductively coupled high density plasma source was used to generate an energetic (100s of eV), high flux (equivalent of ∼10s mA/cm2) oxygen atom neutral beam.
Abstract: An inductively coupled high density plasma source was used to generate an energetic (100s of eV), high flux (equivalent of ∼10s mA/cm2) oxygen atom neutral beam. Positive ions were extracted from the plasma and neutralized by a metal grid with high aspect ratio holes. High rate (up to 0.6 μm/min), microloading-free, high aspect ratio (up to 5:1) etching of polymer with straight sidewalls of sub-0.25 μm features was demonstrated. The polymer etch rate increased with power and showed a shallow maximum with plasma gas pressure. The etch rate increased roughly as the square root of the boundary voltage (which controls neutral beam energy), and was independent of substrate temperature. The latter observation suggests that spontaneous etching did not occur. The degree of neutralization of the extracted ions was estimated to be greater than 99% at the base case conditions used in this work.

Journal ArticleDOI
TL;DR: In this paper, the surface free energy, calculated from the contact angle measurements, revealed that its polar component was a dominant factor in improving the wettability, while the PSII treatment created more functional groups on the surface and extensively modified the polymer layer than the plasma treatment.
Abstract: Poly(methylmethacrylate) (PMMA), poly(2-hydroxyethyl methacrylate (PHEMA), and poly(2-hydroxypropyl methacrylate) (PHPMA) were modified to improve the wettability by two techniques: plasma and plasma source ion implantation. The modified surfaces were characterized to investigate the dependence of the modification and hydrophobic recovery on the polymer structure. The differences obtained under optimal experiment conditions among the polymers were interpreted in terms of their polymer structures including the glass transition temperature. The surface free energy, calculated from the contact angle measurements, revealed that its polar component was a dominant factor in improving the wettability. The PSII treatment created more functional groups on the surface and extensively modified the polymer layer than the plasma treatment.

Journal ArticleDOI
TL;DR: In this paper, the relationship between the electrical properties of ITO films and O2 partial pressure, the resistivity, carrier concentration and mobility, film density, and intrinsic stress in the films were measured as a function of O 2 partial pressure.
Abstract: Tin-doped indium oxide (ITO) films were fabricated using dc magnetron reactive sputter deposition of an In 10 wt. % Sn alloy target in an Ar and O2 gas mixture. To understand the relationship between the electrical properties of ITO films and O2 partial pressure, the resistivity, carrier concentration and mobility, film density, and intrinsic stress in the films were measured as a function of O2 partial pressure. It was found experimentally that an ITO film having both maximum conductivity and carrier mobility has a film density close to its theoretical density. For the ITO film with density close to theoretical, the mean free path was the same as the grain size (=columnar diameter). This indicated that the electrical characteristics of the ITO films discussed here depend strongly on the grain size. However, for the ITO films with density lower than theoretical, the mean free paths were smaller than the grain size. It is suggested that electron scattering at pores and voids within the grain is the major o...

Journal ArticleDOI
TL;DR: In this article, experimental data on the accommodation coefficient of the noble gases on various surfaces are presented, where the authors measured via the mass flow rate of the gas through a capillary in the free-molecular regime.
Abstract: Experimental data on the accommodation coefficient of the noble gases on various surfaces are presented. The accommodation coefficient is measured via the mass flow rate of the gas through a capillary in the free-molecular regime. Three types of the surface are studied: atomically clean silver, atomically clean titanium and titanium coated by oxygen. It is shown that for the atomically clean surfaces the accommodation coefficient depends significantly on the species of the gas. For the surface coated by oxygen the accommodation coefficient is close to unity.

Journal ArticleDOI
TL;DR: In this article, the specific behavior of a pulsed magnetron discharge was analyzed and the time development of pulsed discharge was composed of three regimes of operation: (1) plasma buildup, (2) stationary plasma, and (3) decaying plasma when the pulse power is off.
Abstract: This article analyzes a pulsed magnetron discharge. Main attention is devoted to the specific behavior of the pulsed discharge. The time development of pulsed discharge is composed of three regimes of operation: (1) plasma buildup, (2) stationary plasma, and (3) decaying plasma when the pulse power is off. The duration of individual regimes strongly depends on the pulse length t1, the repetition frequency fr of pulses, the power delivered into the discharge, and the operating pressure. The proportion of duration of the regime of plasma buildup to the regime of stationary plasma in the pulse dramatically influences the I–V characteristics of the pulsed discharge and the deposition rate of sputtered films. The I–V characteristics of an unbalanced round planar magnetron with a Cu target 100 mm in diameter are shown. The deposition rate of Cu films sputtered with the pulsed magnetron is also given.

Journal ArticleDOI
TL;DR: In this paper, the conditions for deposition have been investigated as a function of substrate temperature (Ts), flux ratio (Fr=F(Te)/F(Sb, Bi)) and optimized to achieve a high thermoelectric power factor.
Abstract: p-type antimony telluride thin films and n-type bismuth telluride thin films have been deposited by coevaporation on glass substrates. The conditions for deposition have been investigated as a function of substrate temperature (Ts), flux ratio (Fr=F(Te)/F(Sb, Bi)) and optimized to achieve a high thermoelectric power factor. The quality of deposited films, e.g. structure, composition and morphology, has been examined by x-ray diffraction, energy dispersive x-ray analysis, flame atomic absorption spectroscopy, and with an atomic force microscope. The thermoelectric properties of the thin films have been evaluated by room temperature measurement of the Seebeck coefficient, Hall coefficient, and electrical resistivity. Both the crystallinity and the transport properties are strongly affected by nonstoichiometry with the highly stoichiometric samples exhibiting a high crystallinity and high thermoelectric power factor. The Seebeck coefficient and electrical conductivity of p-type Sb2Te3 thin film (αp, σp) and ...

Journal ArticleDOI
TL;DR: In this article, the resistivity and thermal stability of transparent conducting ZnO layers doped with aluminum have been correlated with the conditions of the sputtering process and the extrinsic aluminum dopant is incorporated on vacant cation sites.
Abstract: The resistivity and the thermal stability of transparent conducting ZnO layers doped with aluminum have been correlated with the conditions of the sputtering process. Layers deposited at low rf power density (∼1.3 to 2.6 W/cm2) exhibit a low resistivity of 9×10−4 Ω cm, predominantly due to a high concentration of intrinsic donor type defects. These donors are compensated during annealing at high temperature in a vacuum; the low resistivity increases and the layers are not thermally stable. At rf power densities of ∼3.2 W/cm2 and more, the deposition conditions yield a high growth rate and the extrinsic aluminum dopant is incorporated on vacant cation sites. These substitutional donors are thermally stable therefore a low resistivity is retained after annealing at 550 °C.

Journal ArticleDOI
TL;DR: In this paper, a thin piezoelectric AIN polycrystalline films have been grown on Si and SiO2 using rf magnetron sputter deposition in an Ar/N-2 gas mixture.
Abstract: AIN is a material used in a wide variety of applications such as electroacoustic devices, blue diodes, IR windows, thermal conductors, metal-insulator-semiconductor structures, integrated circuit packaging, etc In this work thin piezoelectric AIN polycrystalline films have been grown on Si and SiO2 using rf magnetron sputter deposition in an Ar/N-2 gas mixture The structural properties of the film have been optimized by varying the deposition parameters, such as process pressure, gas mixture, substrate temperature, discharge power, etc [K Tominaga et al, Jpn J Appl Phys, Part 1 35, 4972 (1996); H Okana et al, ibid 31, 3446 (1992); K Kazuya, T Hanabusa, and K Tominaga, Thin Solid Films 281-282, 340 (1996)] It was found that the best film texture was obtained for a particular set of parameters, namely process pressure of 4 mTorr, substrate temperature 350 degreesC, discharge power 350 W, and a gas mixture of 25% Ar and 75% N-2 The films as examined by x-ray diffraction exhibited a columnar structure with a strong (001) texture, and a fall width at half maximum (FWHM) rocking curve of 16 degrees Atomic force microscopy measurements indicated a surface roughness with a rms value of 8 Angstrom Classical nonapodized transversal surface acoustic wave filters operating at a frequency of 534 MHz were fabricated to characterize the electroacoustic properties of the films The measurements indicated a coupling coefficient of 037% and a phase velocity of 4900 m/s Further, thin epitaxial films were grown on (001)alpha -Al2O3 (sapphire) under the same deposition conditions except the substrate temperature The films exhibited a (001)AlN//(001)alpha -Al2O3 plane orientation with a (002) rocking curve FWHM value of about 04 degrees, showing a relatively good alignment of the c axis The in-plane orientation was [110]AlN//[120]alpha -Al2O3 corresponding to a rotation of the AIN film of 30 degrees with respect to the (001)alpha -Al2O3 surface Cross-sectional transmission electron microscopy studies indicated a population of both thread and edge dislocations with decreasing concentrations with film thickness (C) 2001 American Vacuum Society

Journal ArticleDOI
TL;DR: In this paper, the bonding chemistry of alternative high-k gate dielectrics that have been considered for advanced complementary metal-oxide-semiconductor devices is discussed and a classification scheme based on bond ionicity is proposed.
Abstract: This article discusses the bonding chemistry of alternative high-k gate dielectrics that have been considered for advanced complementary metal–oxide–semiconductor devices. The replacement of SiO2 by alternative gate dielectrics requires a transition from a thermally deposited native oxide to a deposited gate dielectric. A classification scheme based on bond ionicity separates alternative gate dielectric materials into three groups that are differentiated by their amorphous morphology and electronic structure and properties. This scheme establishes trends between bond ionicity and (i) the average bonding coordination of the constituent atoms, (ii) the thermal stability against chemical phase separation and/or crystallization, and (iii) the dielectric constant. It also provides a framework for the evaluation of different criteria that have been proposed for optimization of alternative high-k metal and transition metal oxides, and their alloys with SiO2 and Al2O3. Based on technology targets for device and w...