scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 2002"


Journal ArticleDOI
TL;DR: In this paper, the density of sputtered Ge2Sb2.74 thin films upon annealing has been precisely determined by x-ray reflection and compared to the values determined from X-ray diffraction data.
Abstract: The density of sputtered Ge2Sb2.04Te4.74 thin films upon annealing has been precisely determined by x-ray reflection and compared to the values determined from x-ray diffraction (XRD) data. The film density increases in two steps around 130 and 280 °C upon annealing up to 400 °C. These increases are consequences of phase transitions from amorphous to NaCl type and from NaCl type to hexagonal structure, respectively, as revealed by XRD. Average density values of 5.87±0.02, 6.27±0.02, and 6.39±0.02 g/cm3 were measured for the amorphous, NaCl-type, and hexagonal phases, respectively. This corresponds to density changes upon crystallization of 6.8±0.2% and 8.8±0.2% for NaCl-type and hexagonal phases, respectively. The accompanying film thickness reductions were determined to be 6.5±0.2% and 8.2±0.2%, which compares very well with the density changes. The corresponding XRD values are determined to be 6.43–6.48 and 6.48 g/cm3 for NaCl-type and the hexagonal phases, respectively. This shows that nearly void-free...

335 citations


Journal ArticleDOI
TL;DR: In this paper, the thermal stability of Ti1−xAlxN films deposited by arc evaporation from Ti-Al cathodes with 67 and 75% aluminum, respectively, has been investigated.
Abstract: The thermal stability of Ti1−xAlxN films deposited by arc evaporation from Ti–Al cathodes with 67 and 75 at. % aluminum, respectively, has been investigated. The microstructure of as-deposited and ...

330 citations


Journal ArticleDOI
TL;DR: In this paper, a fluorine-based reactive ion etch (RIE) was developed to anisotropic dry etch the silicone elastomer polydimethylsiloxane (PDMS).
Abstract: A fluorine-based reactive ion etch (RIE) process has been developed to anisotropically dry etch the silicone elastomer polydimethylsiloxane (PDMS). This technique complements the standard molding procedure that makes use of forms made of thick SU-8 photoresist to produce features in the PDMS. Total gas pressure and the ratio of O2 to CF4 were varied to optimize etch rate. The RIE recipe developed in this study uses a 1:3 mixture of O2 to CF4 gas resulting in a highly directional and stable etch rate of approximately 20 μm per hour. Selective dry etching can be performed through a photolithographically patterned metal etch mask providing greater precision and alignment with preexisting molded features. The dry etch process is presented in this article along with a brief comparison to recently reported wet etch approaches.

191 citations


Journal ArticleDOI
TL;DR: In this paper, a neutral-beam source consisting of an inductively coupled plasma (ICP) source and parallel top and bottom carbon plates was developed to minimize radiation damage caused by charge buildup or ultraviolet and x-ray photons during etching.
Abstract: To minimize radiation damage caused by charge buildup or ultraviolet and x-ray photons during etching, we developed a high-performance neutral-beam etching system. The neutral-beam source consists of an inductively coupled plasma (ICP) source and parallel top and bottom carbon plates. The bottom carbon plate has numerous apertures for extracting neutral beams from the plasma. When a direct current (dc) bias is applied to the top and bottom plates, the generated positive or negative ions are accelerated toward the bottom plate. Most of them are then efficiently converted into neutral atoms, either by neutralization in charge-transfer collisions with gas molecules during ion transport and with the aperture sidewalls in the bottom plate, or by recombination with low-energy electrons near the end of the bottom plate. We found that negative ions are more efficiently converted into neutral atoms than positive ions. The neutralization efficiency of negative ions was almost 100%, and the maximum neutral flux density was equivalent to 4.0 mA/cm2. A neutral beam can thus be efficiently produced from the ICP source and apertures in our new neutral-beam source.

153 citations


Journal ArticleDOI
TL;DR: The critical aspects of the epitaxial growth of alkaline-earth oxides on silicon are described in detail in this paper, with emphasis placed on the favorable interface stability, oxidation, structural, and strain considerations for each stage of the growth via molecular beam epitaxy.
Abstract: The critical aspects of the epitaxial growth of alkaline-earth oxides on silicon are described in detail. The step by step transition from the silicon to the alkaline-earth oxide as shown through reflection high energy electron diffraction is presented, with emphasis placed on the favorable interface stability, oxidation, structural, and strain considerations for each stage of the growth via molecular beam epitaxy.

140 citations


Journal ArticleDOI
TL;DR: In this paper, a focused electron beam was used for the deposition of cobalt-containing material from dicobalt octacarbonyl using a focused ion beam, with the material containing between 30% and 50% (atomic) cobalt with the balance being carbon and oxygen.
Abstract: The deposition of cobalt-containing material from dicobalt octacarbonyl using a focused electron beam is reported. The material contains between 30% and 50% (atomic) cobalt, with the balance being carbon and oxygen, and comprises nanocrystalline metallic grains embedded in a carbonaceous matrix. Arches bridging two electrodes were fabricated to allow current–voltage (I–V) measurements to be conducted. The material resistivity decreases strongly with the deposition beam current, with values as low as 45 μΩ cm being attainable. Material growth by indirect irradiation is found to give rise to material of high resistivity and can result in highly resistive arches. At low temperatures, three distinctive conduction regimes are observed, with the I–V characteristics flattening out at the origin. At 20 K step-like features suggestive of Coulomb- blockade effects were observed. Magnetic force microscope (MFM) images of the material indicates that it is ferromagnetic in nature. The application of the technique and material to fabricate MFM tips is demonstrated. The use of selective material deposits as catalyst sites for the growth of carbon nanotubes is also demonstrated.

139 citations


Journal ArticleDOI
TL;DR: In this article, a chameleon changing its skin color to avoid predators, the coating changes its "skin" chemistry and structure to avoid wear, similar to the way chameleons change their skin colors to avoid predation.
Abstract: Nanocomposite tribological coatings were designed to respond to changing environmental conditions by self-adjustment of their surface properties to maintain good tribological performance in any environment. These smart coatings have been dubbed “chameleon” because, analogous to a chameleon changing its skin color to avoid predators, the coating changes its “skin” chemistry and structure to avoid wear. The concept was originally developed using WC, diamondlike carbon, and WS2 material combination for adaptation to a humid/dry environment cycling. In order to address temperature variation, nanocomposite coatings made of yttria-stabilized zirconia (YSZ) in a gold matrix were developed with encapsulated nanosized reservoirs of MoS2 and diamondlike carbon (DLC). Coatings were produced using a combination of laser ablation and magnetron sputtering. They were characterized by x-ray photoelectron spectroscopy, x-ray diffraction, transmission electron microscopy, x-ray energy dispersive spectroscopy, and micro-Ram...

138 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated rectangular two-beam microelectromechanical thermal actuators and provided a method for their optimization, which consisted of two asymmetric parallel arms, one thin and one wide.
Abstract: This article investigates rectangular two-beam microelectromechanical thermal actuators and provides a method for their optimization. The thermal actuators investigated consisted of two asymmetric parallel arms,one thin and one wide. Under an electric current load, the thin arm heats and expands more than the wide arm, thereby bending the entire structure. Simplified models of the heat transfer mechanisms are used to determine the temperature profile. From the thermal expressions for expansion of the arms, equations are derived to predict the deflection as well as the buckling loads. Measurements of the actuator deflection as a function of voltage are presented. Design guidelines are introduced for optimization of a thermal actuator.

128 citations


Journal ArticleDOI
TL;DR: In this article, a comprehensive study of microcrystalline silicon (μc-Si:H) p-i-n solar cells prepared by using plasmaenhanced chemical vapor deposition (PECVD) at 13.56 MHz excitation frequency was presented.
Abstract: In this article we present a comprehensive study of microcrystalline silicon (μc-Si:H) p-i-n solar cells prepared by using plasma-enhanced chemical vapor deposition (PECVD) at 13.56 MHz excitation frequency. In the first step the cell development was performed in a small area PECVD reactor showing the relationship between the deposition process parameters and the resulting solar cell performance. Subsequent up-scaling to a substrate area of 30×30 cm2 confirmed the scalability of optimized deposition parameters to large area reactors. We investigated the deposition regime of high rf power Prf (0.25–0.7 W/cm2) and high deposition pressure pdep (1–11 Torr) for the μc-Si:H i layer. Furthermore, the influence of silane concentration and deposition temperature was studied. A transition between amorphous and microcrystalline growth could be achieved by a variation of either deposition pressure, plasma power, or silane concentration. The best microcrystalline silicon solar cells were prepared close to the transition to amorphous growth. A high deposition pressure was a prerequisite for obtaining high quality material at a high growth rate. The best solar cell efficiencies achieved so far are 8.1% and 6.6% at i-layer growth rates of 5 and 10 A/s, respectively, for μc-Si:H single junction cells. Applied in a-Si:H/μc-Si:H tandem cells a stabilized efficiency of 10.0% was achieved.

112 citations



Journal ArticleDOI
TL;DR: In this article, the effect of chamber wall conditions on the Cl and Cl2 concentrations in a Cl2 discharge was studied in an inductively coupled plasma reactor, while the state of the reactor walls was monitored using a surface probe that enables detection of films and adsorbates that deposit on these walls.
Abstract: The effect of chamber wall conditions on the Cl and Cl2 concentrations in a Cl2 discharge was studied in an inductively coupled plasma reactor. Cl and Cl2 mole fractions were determined using optical emission spectroscopy in conjunction with actinometry, while the state of the reactor walls was monitored using a surface probe that enables detection of films and adsorbates that deposit on these walls. Prolonged exposure of the chamber walls to a Cl2 plasma increases the Cl concentration in the discharge. This increase is due to the decreasing recombination probability of Cl atoms on the walls which with time are covered with a thin SiO2 film. The source of the SiO2 is the quartz dielectric window which is sputtered by ion bombardment. A SF6/O2 plasma etches the SiO2 film from the chamber walls and restores the chamber walls to a “clean” state. The Cl concentration in the reactor with these two different states of the wall conditions, under otherwise identical plasma operating conditions, was dramatically d...

Journal ArticleDOI
TL;DR: In this paper, the influence of oxygen flow and total pressure on film stress, structure, texture, and surface roughness has been examined, and the properties of the films strongly depend on the deposition conditions, in particular the total pressure.
Abstract: Thin ZnO and ZnO:Al films have been prepared by reactive dc magnetron sputtering, using both metallic and ceramic targets. The influence of oxygen flow and total pressure on film stress, structure, texture, and surface roughness has been examined. The properties of the films strongly depend on the deposition conditions, in particular the total pressure. Increasing the pressure from 0.5 to 2 Pa resulted in rougher, and less strained films. Increasing the oxygen flow at a constant total pressure led to a slight increase in stress, but had no visible effect on the surface roughness. Structural investigations by x-ray diffraction (XRD) showed that polycrystalline films with pronounced preferential orientation were formed. Most XRD-spectra showed a coexistence of strained and unstrained ZnO in wurtzite structure. The relative abundance of the relaxed phase is a function of the intrinsic stress only, and does not directly depend on deposition parameters. However, the deposition parameters determine the stress w...

Journal ArticleDOI
TL;DR: In this article, low-temperature nitridation of SiO2 thin films by Ar/N2 remote plasma processing was investigated using on-line Auger electron spectroscopy, angle-resolved x-ray photoelectron spectrography (ARXPS), and Optical Emission Spectroscopy (OES).
Abstract: Low-temperature nitridation of SiO2 thin films by Ar/N2 remote plasma processing was investigated using on-line Auger electron spectroscopy, angle-resolved x-ray photoelectron spectroscopy (ARXPS), and optical emission spectroscopy (OES). Nitridation experiments were performed at 300 °C using 30 W Ar/N2 remote plasmas at 0.1 and 0.3 Torr. Ar/N2 remote plasma exposure of 5 nm SiO2 films for 30 min results in nitrogen incorporation throughout the films, independent of process pressure and plasma reactor configuration (i.e., upstream versus downstream N2 injection). ARXPS indicates a N–Si3 local bonding configuration with second nearest neighbor oxygen atoms. Ar/N2 remote plasma exposure at 0.1 Torr results in higher nitrogen concentrations (8–10 at. %). Reactor configuration has a negligible effect at 0.1 Torr; conversely, downstream N2 injection results in higher nitrogen concentrations (5–6 at. %) than upstream injection (3–4 at. %) at 0.3 Torr. OES indicates that the Ar/N2 remote plasmas contain N2 triplet excited states and ground-state N atoms. The Ar emission intensities and the saturation N concentrations in the resultant films follow similar trends with processing pressure and reactor configuration; the N2 first positive emission intensities run counter to these trends. We infer that low-temperature SiO2 nitridation by Ar/N2 remote plasmas is a two-step process: O removal by Ar+ ion bombardment and N insertion by plasma-generated active N species. Moreover, the first step appears to be rate limiting under the conditions employed in this study. Annealing the oxynitride films in N2 at 900 °C decreases the N concentration and results in a more uniform nitrogen distribution.

Journal ArticleDOI
TL;DR: In this article, a description about low temperature deposition of a-Al2O3 thin films by sputtering was presented and a template was used as a template for nanoindentation.
Abstract: A description about low temperature deposition of a-Al2O3 thin films by sputtering was presented. Cr2O3 thin layer was used as a template. Nanoindentation was used to study the mechanical propertie ...

Journal ArticleDOI
TL;DR: In this article, an angle-resolved x-ray photoelectron spectroscopy was used to study the chemical defects and interfacial regrowth of Al2O3 layers after annealing.
Abstract: Thin (45 nm) and ultrathin (4.5–1 nm) Al2O3 layers deposited on HF-stripped Si or thin SiO2 surfaces by atomic layer deposition were studied by angle-resolved x-ray photoelectron spectroscopy, before and after rapid thermal annealing (RTA) at 800 °C for 15 min in N2 or annealing in a conventional furnace under ultrahigh vacuum (UHV) (p=10−6 mbar) and N2 at the same temperature. Samples were characterized in terms of chemical defects and interfacial regrowth upon annealing. Chemical defects as Al–OH groups are evidenced from the O 1s spectra even after RTA. Interfacial regrowth, estimated from the Si 2p components intensity, was found (1) to occur upon every kind of annealing, (2) to be dependent on the initial Al2O3 thickness (the thicker the layer the greater the regrowth), and (3) to be significantly reduced on SiO2 surfaces as thin as 0.5 nm. In agreement with previous studies, we also consistently found the presence of an oxidized Si component at the Al2O3/substrate interface centered at 102 eV for wh...

Journal ArticleDOI
TL;DR: The etching of polysilicon by low energy Cl2+HBr plasma beam was studied in this article, and the etching yield as a function of composition, ion impingement energy and ion incident angle was measured.
Abstract: The etching of polysilicon by low energy Cl2+HBr plasma beam was studied, and the etching yield as a function of composition, ion impingement energy and ion incident angle was measured. The etching yield by HBr plasma beam is slightly lower than Cl2 plasma beam. The angular dependence of etching yield by both Cl2 and HBr beam strongly suggests the mechanism of ion induced chemical etching, with highest etching yield at normal incident angle. For Cl2 beam, the etching yield almost keeps constant until the off-normal incident angle of ions increased to 45°, while for HBr beam, the etching yield starts dropping even with small off-normal angle. The angular dependence of etching yield by Cl2+HBr plasma at different composition exhibits similar trend as pure HBr. Using x-ray photoelectron spectroscopy, the coverage of Cl and Br on polysilicon surfaces after etching in Cl2+HBr plasmas was measured. The Cl coverage after etching with pure Cl2 plasma beam is about 1.4 times higher than the Br coverage after etchi...

Journal ArticleDOI
TL;DR: In this article, the mass flow, heat flux, and diffusion flux of rarefied gas mixture through a tube caused by gradients of pressure, temperature, and concentration were calculated over a wide range of the Knudsen number on the basis of the kinetic equation.
Abstract: The mass flow, heat flux, and diffusion flux of rarefied gas mixture through a tube caused by gradients of pressure, temperature, and concentration were calculated over a wide range of the Knudsen number on the basis of the kinetic equation. The thermodynamic fluxes are presented in the form that allows us to prove the Onsager relations and then to reduce the number of kinetic coefficients determining the solution down to six. The numerical values of the kinetic coefficients are tabulated and the velocity profiles are given in figures.

Journal ArticleDOI
TL;DR: In this article, HfO2 films were grown on Si(100) by chemical vapor deposition as an attempt to develop an industrially straightforward gate dielectric deposition process.
Abstract: HfO2 films were grown on Si(100) by chemical vapor deposition as an attempt to develop an industrially straightforward gate dielectric deposition process. During deposition at ∼400 °C the decomposition of the hafnium-tetra-tert-butoxide Hf(C4H9O)4 precursor provides sufficient oxygen to produce a stoichiometric HfO2 film. Medium energy ion scattering, high resolution transmission electron microscopy, atomic force microscopy, and ellipsometry were used to identify the structure and composition of the film and its interface to the Si substrate. Local crystallinity in the films increased significantly with annealing. Capacitance–voltage and current–voltage methods were used to characterize the electrical properties of simple capacitor structures. When grown on high quality ultrathin oxides or oxynitrides, the deposited films displayed very good physical and electrical properties.

Journal ArticleDOI
TL;DR: In this article, a gas phase and surface chemistry study of inductively coupled plasmas fed with C4F6/Ar and c4F8/Ar intended for SiO2 etching processes was performed.
Abstract: A gas phase and surface chemistry study of inductively coupled plasmas fed with C4F6/Ar and C4F8/Ar intended for SiO2 etching processes was performed. Adding Ar to those fluorocarbon gases results in a strong increase of the ion current, by up to a factor of 5 at 90% Ar relative to the pure fluorocarbon gases. The fluorocarbon deposition rate is higher for C4F6/Ar than for C4F8/Ar, whereas the fluorocarbon etching rate is lower, and both quantities decrease as the amount of Ar is increased. For both C4F6/Ar and C4F8/Ar, the CF2 density is more than an order of magnitude greater than the CF density. The CF2 partial pressure decreases as more Ar is added to the C4F6/Ar plasmas. A comparison of these data with corresponding results obtained with C4F8/Ar shows that the CF2 partial pressure in C4F6 is higher for Ar-lean gas mixture than for C4F8/Ar. This remains true up to 40% Ar. Above 40% Ar the CF2 partial pressure in C4F8 is higher than for C4F6. The CF and COF2 partial pressures in C4F8 are higher than fo...

Journal ArticleDOI
TL;DR: In this article, the initial growth and texture formation mechanism of titanium nitride (TiN) films were investigated by depositing TiN films on (111) silicon substrates by using reactive magnetron sputtering of a Ti metallic target under a N2/Ar atmosphere, and then analyzing the films in detail by using transmission electron microscopy (TEM) and x-ray diffraction (XRD).
Abstract: The initial growth and texture formation mechanism of titanium nitride (TiN) films were investigated by depositing TiN films on (111) silicon substrates by using reactive magnetron sputtering of a Ti metallic target under a N2/Ar atmosphere, and then analyzing the films in detail by using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Two power sources for the sputtering, dc and rf, were compared. At the initial growth stage, a continuous amorphous film containing randomly oriented nuclei was observed when the film thickness was about 3 nm. The nuclei grew and formed a polycrystalline layer when the film thickness was about 6 nm. As the film grew further, its orientation changed depending on the deposition conditions. For dc sputtering, the appearance of (111) or (200)-preferred orientations depended on the N2 partial pressure, and the intensity of the preferred orientation increased with increasing film thickness. For rf sputtering, however, when the film thickness was small (for ins...

Journal ArticleDOI
TL;DR: In this paper, a transparent conductive film, molybdenum-doped indium oxide (IMO), was developed using normal thermal reactive evaporation without any special treatments.
Abstract: We developed a novel transparent conductive film, molybdenum-doped indium oxide (IMO). Using normal thermal reactive evaporation without any special treatments, IMO films have been prepared on normal glass microscope slides at about 350 °C with electrical resistivity of 1.7×10−4 Ω cm, mobility over 100 cm2 V−1 s−1, and an average spectral transmittance in the visible region over 80%. From x-ray photoelectron spectroscopy and x-ray diffraction spectra of the IMO films, it is confirmed that the lattice of IMO is the same as that of In2O3 of cubic bixbyite structure, Mo6+ substitutes for In3+ in In2O3, and there are no new compounds in IMO. The valence difference of 3 between Mo6+ and In3+ is of great advantage to the IMO film with high conductivity and high transparency simultaneously.

Journal ArticleDOI
TL;DR: In this article, a dual-gate transistor structure is used to minimize the parasitic coupling between the organic light-emitting diode (OLED) and the transistor layers for mobile display applications.
Abstract: This article presents design considerations pertinent to amorphous silicon (a-Si:H) pixel drive circuits for mobile display applications. We describe both pixel architectures and circuit topologies that are amenable for vertically stacked organic light-emitting diode (OLED) pixels in a-Si:H technology. Here, a dual-gate transistor structure is used to minimize the parasitic coupling between the OLED and the transistor layers. We consider both the two-transistor (2-T) voltage-programmed drive circuit and the five-transistor (5-T) current-programmed drive circuit. The latter provides compensation for shifts in device characteristics by virtue of metastable shifts in the threshold voltage of the thin-film transistor (TFT). Implementation of the 5-T drive circuit using dual-gate TFTs that enables high aperture ratio (∼100%), low leakage current, and surface emissive OLED pixels that are independent of scaling is also presented, along with simulation results of transfer characteristics.

Journal ArticleDOI
TL;DR: In this paper, a direct implantation of Fe, Ni or Mn at doses of 3-5×1016 cm−2 into p-type 6H-SiC substrates was carried out at a sample temperature of ∼350 °C.
Abstract: Direct implantation of Fe, Ni or Mn at doses of 3–5×1016 cm−2 into p-type 6H-SiC substrates was carried out at a sample temperature of ∼350 °C. Subsequent annealing was performed at 700–1000 °C for 5 mins. Residual damage in the form of end-of-range defects and dislocation loops in the region from the surface to a depth of ∼0.20 μm were examined by transmission electron microscopy. To the sensitivity of both x-ray diffraction and selected area diffraction pattern analysis, no secondary phases could be detected. Signatures of ferromagnetism were observed in all the highest dose samples, with apparent Curie temperatures of 50 K (Ni), 250 K (Mn), and 270 K (Fe).

Journal ArticleDOI
TL;DR: In this paper, the photocatalytic properties of transparent TiO2 films were investigated by x-ray diffraction and scanning electron microscopy. But the results were limited to the surface morphology of the films.
Abstract: The article reports on the correlation between the structure, the surface morphology, and the photocatalytic behavior of transparent TiO2 films prepared at different total pressures and oxygen partial pressures. The reactive deposition process was conducted in a mixture of Ar and O2 on unheated glass substrate using a rf magnetron sputtering system. The film structure and the surface morphology were investigated by x-ray diffraction and scanning electron microscopy, respectively. The photocatalytic behavior was studied by the measurement of the decomposition of methylene blue and the reduction of the contact angle between water and TiO2 under ultraviolet irradiation. The experiments showed that the photocatalytic properties accompany the changes in the structure and the surface morphology. The phase conversion from the rutile to the anatase TiO2 film leads to an increase in the photocatalytic activity. The rutile films prepared at lower total pressures are characterized by a very low decomposition efficie...

Journal ArticleDOI
E. P. Muntz, Y. Sone, K. Aoki, S. Vargo, M. Young 
TL;DR: In this article, a formulation of the Knudsen compressor operation in transitional, rarefied flow is presented, which provides essentially analytical results for the sensitivity of key performance indicators, such as the energy use and device volume per unit of upflow, to changes in operating and geometric parameters.
Abstract: The Knudsen compressor can be applied as either a vacuum pump or compressor for gases. Earlier investigations have indicated that there are several interesting potential applications of the Knudsen compressor because it has no moving parts and requires no lubricants or supplementary working fluids. However, its energy efficiency tends to be low, so that careful optimization is necessary. An important aspect of the optimization is an understanding of the Knudsen compressor’s operating characteristics in the transitional flow regime of rarefied gas dynamics. This article presents a formulation of Knudsen compressor operation in transitional, rarefied flow. In certain simplified but meaningful situations the formulation provides essentially analytical results for the sensitivity of key performance indicators, such as the energy use and device volume per unit of upflow, to changes in operating and geometric parameters. A numerical study of more complicated situations, using the most general form of the formulation developed here, is substantiated by the analytical investigation. The numerical results also extend the understanding of the Knudsen compressor’s performance characteristics to conditions that cannot be addressed by the simplified analytical form. Specifically, minimization of the device’s volume per unit of upflow is found when the entire cascade operates in transitional flow, which can only be studied using the complete formulation. The results make clear that operation in the transitional flow regime can lead to very significant (factor of 5 to 10) reductions of energy use and device volume for a given task.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the optical emission characteristics of the 500 kHz flat-coil inductively coupled discharges in pure argon, nitrogen, and gas mixtures of Ar+H2, N2+Ar, and N2 +H2.
Abstract: Optical emission characteristics of the 500 kHz flat-coil inductively coupled discharges in pure argon, nitrogen, and gas mixtures of Ar+H2, N2+Ar, and N2+H2 are investigated. Variation of input power and operating gas pressure lead to hysteresis in the optical emission intensity (OEI), which is associated with the transitions between the electrostatic (E) and electromagnetic (H) discharge operating regimes. The characteristics of the hysteresis loops and character of mode transitions appear to be different in pure gases and gas mixtures. It has been observed that the E→H transition are always discontinuous, while the H→E transitions appear smooth in pure nitrogen and N2-dominated discharges. Dependence of the E→H transition threshold on gas composition in Ar+N2, Ar+H2, and N2+H2 mixtures is investigated and underlying mechanisms are discussed. It is also shown that the OEI of nitrogen species can efficiently be controlled by small Ar or H2 admixtures. Addition of argon enhances the optical emission of N2, N2+, N, and N+ species, whereas the effect of hydrogen admixture is the opposite.

Journal ArticleDOI
M. Nose, W. A. Chiou, M. Zhou, T. Mae, M. Meshii 
TL;DR: In this paper, a pair of targets facing each other were placed on silicon wafers without bias application or substrate heating in order to examine only the effect of silicon addition to the transition metal nitride films.
Abstract: ZrN and ZrSiN films were prepared in an rf sputtering apparatus that has a pair of targets facing each other (referred to as the facing target—type rf sputtering). Films were deposited on silicon wafers without bias application or substrate heating in order to examine only the effect of silicon addition to the transition metal nitride films. The contents of zirconium, nitrogen, and silicon of the films were determined with an electron probe microanalyzer. The transmission electron microscopy studies were carried out in addition to x-ray diffraction. For the high resolution transmission electron microscopy observation, the field emission type transmission electron microscope was used, which provides a point-to-point resolution of 0.1 nm. The samples were observed both parallel and perpendicular to the film surface, which were plane and cross sectional views, respectively. In order to investigate the relationship between the mechanical properties and microstructure of films, the hardness was measured by a n...

Journal ArticleDOI
TL;DR: In this paper, a series of TiN/NbN superlattice films with various modulation periods were synthesized by reactive sputter deposition and X-ray diffraction analysis, transmission electron microscopy, and microhardness analysis were employed to characterize the modulation structure, interface structure and micro-hardness of these super-lattices, and it was considered by analysis that the superhardness effect of these films results from the strengthening effect of an alternating stress field caused by the epitaxial growth of two kinds of materials with different lattice constants.
Abstract: In order to study the superhardness effect of superlattice films, a series of TiN/NbN superlattice films with various modulation periods were synthesized by reactive sputter deposition. X-ray diffraction analysis, transmission electron microscopy, and microhardness analysis were employed to characterize the modulation structure, interface structure and microhardness of these superlattice films. The results show that TiN/NbN films possess good periodic modulation structure and the modulation interfaces are straight and clear. The superlattice films have a face-centered-cubic polycrystalline structure resulting from epitaxial growth. They assume unusual microhardness which can reach a peak value of HK 39.0 GPa at a modulation period of 8.3 nm. It is considered by analysis that the superhardness effect of TiN/NbN superlattice films results from the strengthening effect of an alternating stress field, which is caused by the epitaxial growth of two kinds of materials with different lattice constants.

Journal ArticleDOI
TL;DR: In this article, the removal of silicon oxychloride films from a SF6 plasma was investigated using multiple surface and plasma diagnostics, using a diagnostic technique based on the principles of multiple total internal reflection Fourier transform infrared spectroscopy.
Abstract: Silicon oxychloride films deposited on plasma etching reactor walls during the Cl2/O2 plasma etching of Si must be removed to return the reactor to a reproducible state prior to etching the next wafer. Using multiple surface and plasma diagnostics, we have investigated the removal of this silicon oxychloride film using an SF6 plasma. In particular, a diagnostic technique based on the principles of multiple total internal reflection Fourier transform infrared spectroscopy was used to monitor the films that formed on the reactor walls. The silicon oxychloride film etching proceeds by incorporation of F, which also abstracts and replaces the Cl atoms in the film. If the SF6 plasma is not maintained for a sufficiently long period to remove all the deposits, the F incorporated into the film leaches out into the gas phase during the subsequent etch processes. This residual F can have undesirable effects on the etching performance and the wafer-to-wafer reproducibility. The removal of the silicon oxychloride fil...

Journal ArticleDOI
TL;DR: In this article, the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance was investigated. But the growth rates were independent of TiCl4 exposure above 1×103 L, indicating typical ALD mode growth.
Abstract: We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 °C using TiCl4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl4 exposure above 1×103 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on different substrate materi...