scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 2003"


Journal ArticleDOI
TL;DR: In this paper, the authors review the present understanding of film growth processes and their role in microstructural evolution as a function of deposition variables including temperature, the presence of reactive species, and the use of low-energy ion irradiation during growth.
Abstract: Atomic-scale control and manipulation of the microstructure of polycrystalline thin films during kinetically limited low-temperature deposition, crucial for a broad range of industrial applications, has been a leading goal of materials science during the past decades. Here, we review the present understanding of film growth processes—nucleation, coalescence, competitive grain growth, and recrystallization—and their role in microstructural evolution as a function of deposition variables including temperature, the presence of reactive species, and the use of low-energy ion irradiation during growth.

1,499 citations


Journal ArticleDOI
TL;DR: In this article, the formation of the metal-organic contact and the parameters which control the injection current are discussed, as well as the origins of the vacuum level offset, which is not yet fully understood.
Abstract: Charge injection at the interface between metallic electrodes and organic semiconductors plays a crucial role in the performance of organic (opto-)electronic devices. This article discusses the current understanding of the formation of the metal–organic contact and the parameters which control the injection current. Organic semiconductors differ significantly from their inorganic counterparts, primarily because they are amorphous van der Waals solids. As a result the electronic states are highly localized, and charge transport is by site-to-site hopping. Organics can also form clean interfaces with many metals, free of interface states in the gap. Nevertheless, there is generally found to be a significant vacuum level offset, the origins of which are not yet fully understood. Organic semiconductors are frequently free of donor and acceptor dopants, and as a result the depletion depth is larger than the organic layer thickness. Thus the Fermi level in the organic and the charge injection barriers depend mo...

368 citations


Journal ArticleDOI
TL;DR: In this article, a brief overview of thin film growth utilizing the reactive processes of chemical vapor deposition (CVD) and atomic layer deposition (ALD) is provided, with a focus on the latest advancements and future directions, and the processes and precursors commonly used to achieve controlled deposition.
Abstract: This article provides a brief overview of thin film growth utilizing the reactive processes of chemical vapor deposition (CVD) and atomic layer deposition (ALD). In CVD, thin films are deposited upon the chemical reaction of vapor phase precursors with a solid surface. ALD is a surface reaction-controlled variant of CVD in which the chemical precursors are introduced in a sequential, pulsed manner, resulting in the growth of a self-limited monolayer (or less) film for each pulse step. The aim of both methodologies is the controlled growth of thin films with desired and reproducible properties. Emphasis is given to the latest advancements and future directions, and the processes and precursors commonly used to achieve controlled deposition.

279 citations


Journal ArticleDOI
TL;DR: A low energy Ar ion beam of 40-100 eV was used to activate the Cu surface prior to bonding in this paper, which enables successful Cu-Cu direct bonding under an ultrahigh vacuum condition.
Abstract: Thin copper (Cu) films of 80 nm thickness deposited on a diffusion barrier layered 8 in. silicon wafers were directly bonded at room temperature using the surface activated bonding method. A low energy Ar ion beam of 40–100 eV was used to activate the Cu surface prior to bonding. Contacting two surface-activated wafers enables successful Cu–Cu direct bonding. The bonding process was carried out under an ultrahigh vacuum condition. No thermal annealing was required to increase the bonding strength since the bonded interface was strong enough at room temperature. The chemical constitution of the Cu surface was examined by Auger electron spectroscope. It was observed that carbon-based contaminations and native oxides on copper surface were effectively removed by Ar ion beam irradiation for 60 s without any wet cleaning processes. An atomic force microscope study shows that the Ar ion beam process causes no surface roughness degradation. Tensile test results show that high bonding strength equivalent to bulk ...

269 citations


Journal ArticleDOI
TL;DR: A review of nucleation and growth models as applied to the earliest stages of thin film growth is given in this paper, with a focus on fast computational models that can span a large range of length and time scales.
Abstract: A review is given of nucleation and growth models as applied to the earliest stages of thin film growth. Rate equations, kinetic Monte Carlo, and level set simulations are described in some detail, with discussion of remaining uncertainties, in particular the functional form of the so-called capture numbers in rate equations. Recent examples are given of sub-monolayer nucleation at surface defects, attachment-limited capture, and Ostwald ripening. The experimental literature is cited, and experiment–theory comparisons are made where possible. Emphasis is given to fast computational models that can span a large range of length and time scales, which might be further developed in the direction of on-line process control.

256 citations


Journal ArticleDOI
TL;DR: In this article, the link between etch process parameters such as pressure, rf power, etching gas chemistry, temperature, and the energy-resolving quadrupole mass spectrometer assembled into the cathode was established.
Abstract: The process of pattern transfer of desired topological features into silicon plays a critical role for the production of microelectronic and photonic devices, and micro- and nanoelectromechanical systems. Any deviation from the desired shape of the pattern limits density, yield, and reliability of these devices. Gas reactivity, pressure, ion, electron, and reactant transport to the surface, and product transport away from the surface, have all been identified as important issues that control the microscopic uniformity in high aspect ratio etching. When etch-inhibiting chemistry by the gas chopping deep reactive ion etching (RIE) was employed, it caused enormous complications in the scaling of etching rates, with increasing aspect ratio. Using an energy-resolving quadrupole mass spectrometer assembled into the cathode, specially designed test features, and etching simulation models, we establish the link between etch process parameters such as pressure, rf power, etching gas chemistry, temperature, and the...

208 citations


Journal ArticleDOI
TL;DR: Physical vapor deposition (PVD) of thin films relies on the removal of atoms from a solid or a liquid by energetic means, and the subsequent deposition of those atoms on a nearby surface as mentioned in this paper.
Abstract: The properties of thin films depend critically on how they are made. For the most part, thin films are assembled in ways very different from the production of bulk materials. Thin films are usually deposited on existing, bulk surfaces using techniques based on atomic or molecular scale physics and chemistry. Physical vapor deposition (PVD) of thin films relies on the removal of atoms from a solid or a liquid by energetic means, and the subsequent deposition of those atoms on a nearby surface. Variations of PVD processes include thermal evaporation, physical sputtering, laser ablation, and arc-based emission. Additional modifications to physical sputter deposition have been made to enhance the chemical and/or structural nature of the deposited films. These modifications include reactive sputter deposition, the unbalanced magnetron, collimated and ionized sputter deposition. Each of these systems and techniques will be described as well as some of the current day applications of the films produced.

166 citations


Journal ArticleDOI
TL;DR: In this article, the authors used a tribometer incorporating in situ optical microscopy and Raman spectroscopy to measure the friction and wear properties of three low-friction tribological coatings: amorphous Pb-Mo-S, diamond-like carbon (DLC), and an...
Abstract: The literature on protective tribological coatings often focuses on correlations with measurable coating properties (composition, structure, and mechanical) but ignores the mechanisms of friction and wear. In fact, long-lived coatings often survive because of third bodies that form inside the moving contact. This article reviews earlier studies of third body processes carried out by mainly ex situ methods and reports more recent studies investigating third body processes using in situ techniques. Direct evidence that third bodies control friction and wear processes has been obtained with a tribometer incorporating in situ optical microscopy and Raman spectroscopy. Videotapes and Raman spectra of the sliding contact were recorded during reciprocating sliding tests performed in both dry and humid air with transparent hemispheres (glass or sapphire). Third body processes were correlated directly to friction and wear behavior of three low friction coatings: amorphous Pb–Mo–S; diamond-like carbon (DLC); and an...

162 citations


Journal ArticleDOI
TL;DR: In this paper, nitrogen-doped zinc oxide (ZnO) films were fabricated using metalorganic chemical vapor deposition (MOCVD) to demonstrate p-type behavior by using diethylzinc precursors.
Abstract: We have fabricated nitrogen-doped zinc oxide (ZnO) films that demonstrate p-type behavior by using metalorganic chemical vapor deposition. In our experiment, diethylzinc is used as a Zn precursor, and NO gas is used to supply both O and N to form a N-doped ZnO (ZnO:N) film. With these precursors, we have routinely reached an N concentration in the ZnO films of about 1–3 at. %. When the N concentration level is higher than 2 at. %, the films demonstrate p-type characteristics. The carrier concentration of the films varies from 1.0×1015 to 1.0×1018 cm−3, and mobilities are mainly in the 10−1 cm2 V−1 s−1 range. The lowest film resistivity achieved is ∼20 Ω cm.

126 citations


Journal ArticleDOI
TL;DR: In this article, the focusing effects of fine glass capillary optics for MeV He ion beams were investigated and it was shown that the majority of incident ions are lost by the dechanneling, or large-angle scattering process, however, a part of them, actually about 1% more or less, is emitted through the outlet without significant energy loss.
Abstract: We present evidence of the focusing effects of fine glass capillary optics for MeV He ion beams. The glass capillary optics are formed by a puller as to have inlet diameters of about 1 mm and outlet diameters of submicrons. The total length of the optics is about 50 mm. Impingent MeV ions to such optics are reflected by the inner wall several times, in a very similar process to the so-called surface channeling. The majority of incident ions are lost by the dechanneling, or large-angle scattering process, however, a part of them, actually about 1% more or less, is emitted through the outlet without significant energy loss. Compared with the conventional micro-ion beam facilities, the present method is certainly simple and lowcost, thus providing an easy method of submicron Rutherford backscattering spectrometry or particle induced x-ray emission analyses. In addition, if the ion species are extended to heavier elements, the present method provides versatile maskless ion implantation techniques.

119 citations


Journal ArticleDOI
TL;DR: The electron energy distribution in these microdischarges is non-Maxwellian, with a pronounced high-energy tail as discussed by the authors, and the high electron energy together with the high gas density, which favors three-body collisions, is the reason for an efficient excimer generation in microplasmas.
Abstract: By reducing the dimensions of hollow cathodes into the hundred micrometer range, stable, direct current, high (atmospheric) pressure glow discharges in rare gases, rare gas–halide mixtures and in air could be generated. The electron energy distribution in these microdischarges is non-Maxwellian, with a pronounced high-energy tail. The high electron energy together with the high gas density, which favors three-body collisions, is the reason for an efficient excimer generation in these microplasmas. Excimer efficiencies from 1% to 9% have been measured for argon, xenon, argon fluoride, and xenon chloride direct current excimer emitters, with a radiant excimer emittance of up to 2 W/cm2 for xenon. Adding small amounts of oxygen to argon has allowed us to generate vacuum ultraviolet line radiation at 130.5 nm with an efficiency approaching 1%. Pulsing xenon discharges with nanosecond electrical pulses has led to an increase in intensity to 15 W/cm2 and to a simultaneous increase in efficiency to more than 20%. Operating the discharges in an abnormal glow mode has allowed us to generate microdischarge arrays without individual ballast. Applications of these plasma arrays are excimer lamps and plasma reactors.

Journal ArticleDOI
TL;DR: In this paper, the origin of the preferred orientation (PO) of sputter-deposited nitrides is investigated in the context of surface diffusion and grain growth processes.
Abstract: Texture control of sputter-deposited nitride films has provoked a great deal of interest due to its technological importance. Despite extensive research, however, the reported results are scattered and discussions about the origin of preferred orientation (PO) are sometimes conflicting, and therefore controversial. The aim of this study is to acquire a clear perspective in order to discuss the origin of PO of sputter-deposited nitrides. Among nitrides, we focus on titanium nitride (TiN), aluminum nitride (AlN), and tantalum nitride (TaN), which are three commonly used nitrides. First, we collected reported experimental results about the relation between operating conditions and PO, because PO is considered to be determined by film formation processes, such as surface diffusion or grain growth, which is affected by operating conditions. We also collected reported results about such PO-determining processes. Then, we categorized the PO-determining processes into an initial stage and a growth stage of film d...

Journal ArticleDOI
TL;DR: In this article, a soft X-ray photoemission Spectroscopy using surface sensitive Synchrotron Radiation has been applied to accurately determine the binding energy shifts and the valence band offset of the HfO2 grown on Hf metal.
Abstract: Soft X-Ray Photoemission Spectroscopy using surface sensitive Synchrotron Radiation has been applied to accurately determine the binding energy shifts and the valence band offset of the HfO2 grown on Hf metal. Charging of oxide films under x-rays (or other irradiation) is circumvented by controlled and sequential in-situ oxidation. Photoemission results show the presence of metallic Hf (from the substrate) with the 4f7/2 binding energy of 14.22 eV, fully oxidized Hf (from HfO2) with the 4f7/2 binding energy of 18.16 eV, and at least one clear suboxide peak. The position of the valence band of HfO2 with respect to the Hf(m) Fermi level is determined as 4.05 eV.

Journal ArticleDOI
TL;DR: In this paper, the authors used the nonlinear elastic response upon unloading to verify if the hardness values measured on the super- and ultrahard coatings are self-consistent, and the analytical solutions were also used to estimate the tensile strength of the coatings.
Abstract: Hertzian analysis of the nonlinear elastic response upon unloading provides analytical solutions that were used to verify if the hardness values measured on the super- and ultrahard coatings are self-consistent. The analytical solutions were also used to estimate the tensile strength of the coatings. The highest tensile stress occurs at the periphery of the contact between the coating and the indenter and, in the case of ultrahard coatings, it can reach values in the range of tens of Gpa, thus giving an estimate of their tensile strength. The results show that the tensile strength of the superhard nanocomposites reaches an appreciable fraction of the ideal cohesive strength that is predicted on the basis of the universal binding energy relation. The data are compared with finite element computer modeling in order to obtain a deeper insight into the complex problems. Reliable values of the hardness can be obtained if coatings of a thickness greater than 8 μm are used and the load-independent values are measured at sufficiently large indentation depths of greater than 0.3 μm.

Journal ArticleDOI
TL;DR: In this paper, the adhesion and friction properties of single-crystalsilicon, diamondlike carbon (DLC) films, and hexadecane thiol (HDT) self-assembled monolayers were investigated, and the effect of rest time, velocity, relative humidity, and temperature was studied.
Abstract: Adhesion and frictionimpacts the reliability of microelectromechanical systems/nanoelectromechanical systems (MEMS/NEMS) devices. It is essential to study the adhesion and frictionproperties of the materials that are commonly used in these devices. A novel microtriboapparatus, capable of adopting MEMS components, has been used to perform tribological studies. Calibration of sensors, piezos, and cantilever was performed in this study. The adhesion and frictionproperties of single-crystalsilicon, diamondlike carbon (DLC) films, and hexadecane thiol (HDT) self-assembled monolayers were investigated, and the effect of rest time, velocity, relative humidity, and temperature was studied. The relevant adhesion and friction mechanisms are also discussed. It is found that solid films of DLC and HDT can apparently reduce the adhesion and friction of Si(100). In the case of Si(100), rest time, velocity, relative humidity, and temperature dependence is found, whereas DLC and HDT are insensitive or less sensitive to these parameters. This study suggests that DLC and HDT films can be used as antiadhesion coatings for MEMS/NEMS at different environments and operating conditions.

Journal ArticleDOI
TL;DR: In this paper, an overview of the evolution of our understanding of several interesting and important phenomena associated with plasma-surface interactions and suggest some future applications of plasma is provided, as well as a future application of plasma.
Abstract: Over the past decades, our understanding of the fundamental processes occurring in the plasma and at plasma–material interfaces has evolved from being macroscopic and phenomenological to microscopic and mechanistic. This article aims to provide an overview of the evolution of our understanding of several interesting and important phenomena associated with plasma–surface interactions and suggest some future applications of plasma.

Journal ArticleDOI
TL;DR: In this paper, the authors used infrared laser absorption spectroscopy to determine the absolute densities of neutral CF, CF2 and COF2 radical species as a function of the gas composition.
Abstract: Gas mixtures based on C4F8 are promising for the development of high-performance SiO2 plasma etching processes. Measurements of important gas phase species, thin film etching rates and surface chemistry changes were performed for inductively coupled plasmas fed with C4F8/Ar and C4F8/O2 gas mixtures. The addition of Ar to C4F8 causes a strong increase of the plasma density relative to that of pure C4F8 (by up to a factor of 4× at 90% Ar). For O2 addition the changes in plasma density are small up to 90% O2 relative to pure C4F8. Infrared laser absorption spectroscopy was used to determine the absolute densities of neutral CF, CF2 and COF2 radical species as a function of the gas composition. The densities of CF and CF2 were enhanced for certain operating conditions when Ar was added to C4F8 as long as the amount of Ar remained below 20%. For instance, the partial pressure of CF was 0.1 mTorr for a 20 mTorr 1400 W source power discharge for pure C4F8, and increased to 0.13 mTorr at 20% Ar. Above 20% Ar it decreased, roughly following the gas dilution. The CF2 partial pressure was about 5 mTorr for the same conditions, and increased by about 10% at 20% Ar. Above 20% Ar the CF2 partial pressure decreased roughly linearly with the amount of Ar added, to about 2 mTorr at 50% Ar. Of particular interest was the analysis of the difference in behavior of CF, CF2 and COF2 partial pressures over SiO2 and Si surfaces, with and without rf bias power (in the latter case a self-bias voltage of −100 V was used). For pure C4F8 discharges at 20 mTorr and 1400 W inductive power without rf bias the partial pressures of CF, CF2 and COF2 radicals are comparable over SiO2 and Si surfaces. Upon applying a rf bias, the CF2 partial pressure over a SiO2 surface is reduced much more strongly than for a Si surface. The overall reduction appears to be consistent with the relative SiO2/Si etch rate ratios observed for these conditions. These results indicate that CF2 is consumed during the etching of SiO2 and Si. We also measured fluorocarbon deposition rates without rf bias and etching rates of blanket SiO2, silicon, resist and deposited fluorocarbon films as a function of the rf bias and feed gas composition. Important differences in the response of the etching rates of those materials upon the addition of O2 and Ar to C4F8 were observed. In particular, we show that the SiO2/Si and SiO2/resist etching selectivities can be doubled by adding up to 90% Ar to C4F8, without inducing an unacceptably large reduction of the SiO2 etching rate. The change in etch rate ratios is at least in part due to strong surface chemical changes seen for Ar-rich fluorocarbon gas mixtures. The surface chemical changes of Si and SiO2 surfaces were investigated by real-time ellipsometry and x-ray photoelectron spectroscopy. A strong reduction of the fluorine content of the fluorocarbon steady-state layer and an increase in thickness is seen when up to 90% Ar was added to C4F8, and this coincides with an increase of the SiO2/Si etching selectivity. The change in fluorocarbon surface chemistry can be explained by the strongly increased ion/neutral flux ratio that is characteristic of Ar-rich C4F8/Ar gas mixtures.

Journal ArticleDOI
TL;DR: In this paper, a new type of sensitive plasma absorption probe (PAP) is characterized with a thin wire antenna directly exposed to plasma, which enables measurements of very low electron densities and very high pressures.
Abstract: This article reports a new type of sensitive plasma absorption probe (PAP), which is characterized with a thin wire antenna directly exposed to plasma. In the sensitive PAP, the power reflection coefficient resonantly decreases at a certain frequency due to absorption of a surface wave, which is excited along a sheath formed around the antenna. The electron density is derived from the measured absorption frequency in comparison to a wave dispersion relation: the dispersion is calculated under assumptions that the sheath width is twice the Debye length and that wavelength is twice the antenna length. This sensitive PAP also enables measurements of very low electron densities (∼108 cm−3) and very high pressures (∼10 Torr), in comparison to a conventional standard PAP. In addition, both electron temperature and electron density can be measured using a pair of sensitive PAPs of different antenna radii.

Journal ArticleDOI
TL;DR: In this paper, the shape transformation of silicon trenches during annealing at high temperatures in a hydrogen ambient was investigated using scanning electron microscopy (SEM) and atomic force microscopy.
Abstract: Shape transformation of silicon trenches during annealing at high temperatures in a hydrogen ambient was investigated using scanning electron microscopy (SEM) and atomic force microscopy (AFM). By SEM observation of the trench profiles, we found that the rate of shape transformation increases with decreasing hydrogen pressure. Performing the simulation based on a continuum surface model, we show that the shape transformation during annealing in a hydrogen ambient is due to surface self-diffusion. By quantitative comparison of the results between the experiment and simulation, we estimated the diffusion coefficients. The obtained activation energy for surface diffusion under a hydrogen pressure of 40 Torr was much higher than that measured under ultrahigh-vacuum conditions. Furthermore, it was found by AFM observation of the trench sidewall surfaces that, during the thermal treatment, the large roughness of the as-etched trench sidewall surface decreased significantly due to surface self-diffusion of silicon atoms, resulting structures with atomically flat terraces and steps.

Journal ArticleDOI
TL;DR: In this article, the correlation of structural properties of Mo and the degree of c-axis texturing in AlN films was studied as a function of sputtering pressure during the dc sputter deposition of Mo.
Abstract: Highly c-axis textured AlN films on Mo could be obtained using the structural modification of Mo thin films by the reactive rf magnetron sputtering at a low temperature. The correlation of structural properties of Mo and the degree of c-axis texturing in AlN films was studied as a function of sputtering pressure during the dc sputter deposition of Mo. The microstructure and residual stress of Mo films were found to be very dependent on the sputtering pressure. As the pressure decreases and the stress changes from tension to compression, the surface morphology and roughness of Mo films became gradually denser and smoother. It is found that the controlled smooth surface of Mo electrodes plays a key role in the growth of highly c-axis textured AlN films deposited onto them. A full width at half maximum of the x-ray rocking curve of the best AlN film on the surface-controlled Mo electrode was 2.30°. Film bulk acoustic wave resonators with an effective coupling coefficient of 5.6% could be achieved using the i...

Journal ArticleDOI
TL;DR: In this article, an analysis of the amount of carbonaceous contamination remaining on silicon wafers with a thin oxide overlayer is made, where the carbon level in terms of a thickness in nm, using the traditional equation, depends sensitively on the assumed form of the carbon.
Abstract: An analysis is made of the amount of carbonaceous contamination remaining on silicon wafers with a thin oxide overlayer. Wafers are either left “as-received” or deliberately contaminated with a thumbprint and then subjected to one of 42 different cleaning procedures with the remaining carbon level monitored by x-ray photoelectron spectroscopy (XPS). The XPS measurement of the carbon level in terms of a thickness in nm, using the traditional equation, depends sensitively on the assumed form of the carbon; the thickness for an average polymerlike contamination being computed as 1.67 times the thickness for a glassy carbon layer for a given spectrum. However, if the measurement is defined in terms of carbon atoms/m2, this sensitivity is removed. Alternatively, if absolute measurements are used, the sensitivity in terms of the thickness in nm is also reduced and the data are consistent with the results for the average polymer. Of the cleaning methods, ultraviolet radiation with ozone is best but this affects ...

Journal ArticleDOI
TL;DR: In this paper, the formation mechanism of craters on a metal surface during the high current pulsed electron beam processing was studied and the melting process and their temperature profiles were simulated for substrates of aluminum and steels.
Abstract: We study in this article the formation mechanism of craters on a metal surface during the high current pulsed electron beam processing. Based on experimental investigations and a physical model, the melting process and their temperature profiles are simulated for substrates of aluminum and steels. Initial melting positions, crater depths, and melting layer thickness are computed, the results being in a good agreement with experimental data. It is also confirmed that the temperature rises faster at a subsurface layer instead of at the outermost surface due to the maximum energy deposition located at about 1/3 of the total penetration depth of the beam. Such a subsurface layer heating and melting mechanism causes eruptions of the subsurface layer liquid matters through the outermost surface and produces the typical surface crater morphology.

Journal ArticleDOI
TL;DR: In this paper, the authors derived the information depth and the mean escape depth for the detected signal in Auger-electron spectroscopy (AES) and x-ray photoelectron (XPS) using the kinetic Boltzmann equation within the transport approximation.
Abstract: The information depth (ID) is a measure of the sampling depth for the detected signal in Auger-electron spectroscopy (AES) and x-ray photoelectron spectroscopy (XPS) while the mean escape depth (MED) is a measure of surface sensitivity. We report ID and MED calculations for Si 2s, Si 2p3/2, Cu 2s, Cu 2p3/2, Au 4s, and Au 4f7/2 photoelectrons excited by Mg Kα x rays. These calculations were made for various electron emission angles and for a common XPS configuration. Similar calculations were made for Si L3VV, Si KL23L23, Cu M3VV, Cu L3VV, Au N7VV, and Au M5N67N67 Auger transitions. The IDs and MEDs were derived from an analytical expression for the signal-electron depth distribution function obtained from a solution of the kinetic Boltzmann equation within the transport approximation. The ratios of the IDs and the MEDs to the corresponding values found if elastic-electron scattering were assumed to be negligible, RID and RMED, were less than unity and varied slowly with electron emission angle α for emiss...

Journal ArticleDOI
TL;DR: In this article, the effects of oxygen concentration in an argon ambient and substrate temperature on film properties were studied, and it was shown that indium-doped indium oxide (IMO) films demonstrated higher electron mobility and more than an order-of-magnitude higher carrier concentration.
Abstract: Molybdenum-doped indium oxide (IMO), an n-type transparent conducting oxide, was deposited using radio-frequency magnetron sputtering. The effects of oxygen concentration in an argon ambient and substrate temperature on film properties were studied. Compared to undoped indium oxide (In2O3) films, IMO films demonstrated higher electron mobility and more than an order-of-magnitude higher carrier concentration. The highest conductivity IMO film demonstrated a mobility of 44 cm2 V−1 s−1 and a carrier concentration of 1.3×1020 cm−3. The properties of both In2O3 and IMO films were very sensitive to the oxygen concentration, but not to the substrate temperature. Average visible transmittance of In2O3 and IMO films were 86% and 80%, respectively. Both optical and x-ray photoelectron spectroscopy analyses indicate a possible second phase in IMO films deposited at lower (⩽1%) oxygen concentrations.

Journal ArticleDOI
TL;DR: In this paper, the authors identified conditions for growing indium tin oxide (ITO) thin films at room temperature that simultaneously exhibit low resistivity (∼3×10−4 Ω cm), high optical transparency (>80%), and near zero stress on polyester substrates.
Abstract: Using rf magnetron sputtering, we have identified conditions for growing indium tin oxide (ITO) thin films at room temperature that simultaneously exhibit low resistivity (∼3×10−4 Ω cm), high optical transparency (>80%), and near-zero stress on polyester substrates. From transport measurements, we deduced that Sn donor atoms had little effect on electrical conduction in ITO films. We further concluded from an analysis of sputtered ions and atoms that bombardment by energetic (>35 eV) negative oxygen ions caused high stress (∼1 GPa) in films grown at lower (6 mTorr) pressure. We further concluded that bombardment by lower-energy (1–2 eV) sputtered oxygen species at the growing film surface was likely responsible for the dependence of ITO crystallization and microstructure on oxygen partial pressure during deposition.

Journal ArticleDOI
TL;DR: Spectroscopic ellipsometry (SE) is a noncontact and nondestructive optical technique for thin film characterization as mentioned in this paper, which has migrated from the research laboratory into the semiconductor, data storage, display, communication, and optical coating industries.
Abstract: Spectroscopic ellipsometry (SE) is a noncontact and nondestructive optical technique for thin film characterization. In the past 10 yr, it has migrated from the research laboratory into the semiconductor, data storage, display, communication, and optical coating industries. The wide acceptance of SE is a result of its flexibility to measure most material types: dielectrics, semiconductors, metals, superconductors, polymers, biological coatings, and even multilayers of these materials. Measurement of anisotropic materials has also made huge strides in recent years. Traditional SE measurements cover the ultraviolet, visible, and near infrared wavelengths. This spectral range is now acquired within seconds with high accuracy due to innovative optical configurations and charge coupled device detection. In addition, commercial SE has expanded into both the vacuum ultraviolet (VUV) and midinfrared (IR). This wide spectral coverage was achieved by utilizing new optical elements and detection systems, along with UV or Fourier transform IR light sources. Modern instrumentation is now available with unprecedented flexibility promoting a new range of possible applications. For example, the VUV spectral region is capable of characterizing lithographic materials for 157 nm photolithography. The VUV also provides increased sensitivity for thin layers (e.g., gate oxides or self-assembled monolayers) and allows investigation of high-energy electronic transitions. The infrared spectral region contains information about semiconductor doping concentration, phonon absorption, and molecular bond vibrational absorptions. In this work, we review the latest progress in SE wavelength coverage. Areas of significant application in both research and industrial fields will be surveyed, with emphasis on wavelength-specific information content.

Journal ArticleDOI
TL;DR: In this paper, the authors showed that the methyl content in the resulting low dielectric constant films had a strong influence on the local bonding structure of these organosilicate glass materials.
Abstract: Pulsed plasma-enhanced chemical vapor deposition from mixtures containing methylsilane (1MS), dimethylsilane (2MS), or trimethylsilane (3MS), systematically varied the methyl content in the resulting low dielectric constant films. The refractive index was found to depend strongly on methyl content but was relatively independent of the precursor used. However, the precursor used strongly impacted the local bonding structure of these organosilicate glass materials as revealed by 29Si nuclear magnetic resonance. The variations in local bonding structure did impact film hardness. No significant changes were found for the concentrations of CH3 and Si–CH3 after annealing and relative humidity treatment for all Si:O:C:H films grown from 1MS, 2MS and 3MS, which suggests that Si:O:C:H films have high thermal stability and very low moisture uptake. The dielectric constants of 2.4–2.6 were observed after annealing.

Journal ArticleDOI
TL;DR: In this article, a pyrolytic method for large-scale production of aligned carbon nanotube arrays perpendicular to the substrate was developed, which can be transferred onto various substrates of particular interest in either a patterned or non-patterned fashion.
Abstract: The excellent optoelectronic, mechanical, and thermal properties of carbon nanotubes have made them very attractive for a wide range of potential applications. However, many applications require the growth of aligned carbon nanotubes with surface modification. We have developed a simple pyrolytic method for large-scale production of aligned carbon nanotube arrays perpendicular to the substrate. These aligned carbon nanotube arrays can be transferred onto various substrates of particular interest (e.g., polymer films for organic optoelectronic devices) in either a patterned or non-patterned fashion. The well-aligned structure further allows us to prepare aligned coaxial nanowires by electrochemically depositing a concentric layer of an appropriate conducting polymer onto the individual aligned carbon nanotubes. This approach is particularly attractive, as it allows surface characteristics of the aligned carbon nanotubes to be tuned to meet specific requirements for particular applications while their alignment structure can be largely retained. These aligned carbon nanotubes with tunable surface characteristics are of great significance to various practical applications. In this paper, we demonstrate the use of the conducting-polymer-coated aligned carbon nanotubes for electrochemical sensing applications.

Journal ArticleDOI
TL;DR: In this paper, the authors used infrared absorption spectroscopy (IRAS) in the multiple internal reflection (MIR) geometry to investigate the interaction of hydrogen-terminated Si(100, (110), and (111) surfaces with hydrogen plasma at room temperature.
Abstract: We have used infrared absorption spectroscopy (IRAS) in the multiple internal reflection (MIR) geometry to investigate the interaction of hydrogen-terminated Si(100), (110), and (111) surfaces with hydrogen plasma at room temperature. We have measured infrared absorption spectra in the Si–H stretching vibration region of the hydrogen-terminated surfaces during H-plasma treatment. IRAS data show that at initial stages of H-plasma treatment, surface hydride species (SiHx,x=1–3) are removed from the surface. A long-term H-plasma treatment of Si(100) and (110) surfaces reproduces monohydride species and creates hydrogen-terminated Si vacancies (VHx) at subsurface regions, i.e., near the surface. On Si(111), no hydride species are reproduced even after a long-term H-plasma treatment. We suggest that monohydride is rather stable against attack of hydrogen radicals as compared to higher hydride species, SiH2 and SiH3. We find that formation of VHx depends on the crystallographic orientation of the Si surface: VH...

Journal ArticleDOI
TL;DR: In this paper, the balance between gas phase O2 dissociation and surface O recombination is investigated under the investigated conditions, and it is shown that neutral density profiles are primarily the result of diffusive transport in balance with fast surface reactions, while the O(1D), O2(a1Δ), and O2 (b1Σ) metastable states play an important role in dissociation, ionization, and attachment kinetics.
Abstract: Simulation results and experimental measurements in an oxygen ICP are used to examine transport and reaction in oxygen inductively coupled plasmas. The trends of plasma characteristics with pressure and rf power are considered. We show that the balance between gas phase O2 dissociation and surface O recombination controls the plasma characteristics under the investigated conditions. Neutral density profiles are primarily the result of diffusive transport in balance with fast surface reactions. According to the model, the O(1D), O2(a1Δ), and O2(b1Σ) metastable states play an important role in dissociation, ionization, and attachment kinetics. The O(1S) metastable is not kinetically important.