scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 2004"


Journal ArticleDOI
TL;DR: In this paper, the influence of film thickness on the material properties of aluminum nitride (AlN) thin films deposited on Pt(111) electrodes has been investigated experimentally by means of x-ray diffraction, dielectric response, atomic force microscopy, interferometry measurement of effective d33, and residual stress measurement.
Abstract: The influence of film thickness on the material properties of aluminum nitride (AlN) thin films deposited on Pt(111) electrodes has been investigated experimentally by means of x-ray diffraction, dielectric response, atomic force microscopy, interferometry measurement of effective d33, and residual stress measurement. The thickness was varied between 35 nm and 2 μm. Full width at mid-height of the rocking curve decreased from 2.60 to 1.14°, rms roughness increased from 3.8 to 18.6 A, the effective d33, namely d33,f, from 2.75 to 5.15 pm/V. The permittivity eAlN was stable at 10.2, whereas the dielectric losses decreased from 1% to 0.1%. The breakdown electric field under dc voltages varied between 4.0 and 5.5 MV/cm.

276 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used spectroscopic ellipsometry to investigate the oxidation of pure Hf films on silicon for the formation of HfO2 (hafnium oxide) gate-dielectric films in advanced complementary metaloxide-semiconductor field effect transistors.
Abstract: Spectroscopic ellipsometry was used to investigate the oxidation of pure Hf films on silicon for the formation of HfO2 (hafnium oxide) gate-dielectric films in advanced complementary metal-oxide-semiconductor field-effect transistors Absorption coefficients near the absorption edge were extracted using the data inversion method, in which the optical constants for short wavelengths were calculated using the film thickness determined from long-wavelength data The extracted optical band gap of 57 eV matches well with published data, and a curve shift due to crystallization was detected In addition, an extra absorption peak corresponding to electron transition from the valence band to a defect energy level was observed in the range 45–50 eV above the valence-band edge The 12 eV energy difference between the conduction-band edge and the edge of this extra peak is close to the electron trap energy level reported elsewhere The intensity of the detected peak was clearly correlated with leakage current an

235 citations


Journal ArticleDOI
TL;DR: In this article, it was shown that the thickness of the fluorocarbon film is not the main parameter controlling the substrate etch rate, but ion-induced defluorination plays a major role in the etching process.
Abstract: The etching of Si, SiO2, Si3N4, and SiCH in fluorocarbon plasmas is accompanied by the formation of a thin steady-state fluorocarbon film at the substrate surface. The thickness of this film and the substrate etch rate have often been related. In the present work, this film has been characterized for a wide range of processing conditions in a high-density plasma reactor. It was found that the thickness of this fluorocarbon film is not necessarily the main parameter controlling the substrate etch rate. When varying the self-bias voltage, for example, we found a weak correlation between the etch rate of the substrate and the fluorocarbon film thickness. Instead, for a wide range of processing conditions, it was found that ion-induced defluorination of the fluorocarbon film plays a major role in the etching process. We therefore suggest that the fluorocarbon film can be an important source of fluorine and is not necessarily an etch-inhibiting film.

221 citations


Journal ArticleDOI
TL;DR: The electrical resistance of the Au was measured as a function of applied tensile strain and the metal remains electrically conductive up to 100% strain and maintains electrical continuity under repeated mechanical deformation as discussed by the authors.
Abstract: Buckled, wavy metal stripes are promising candidates for interconnects in flexible and stretchable electronics. To obtain wavy metal films, 5 nm of Cr (for adhesion) and 20 nm of Au were evaporated on polydimethyl siloxane (PDMS) prestretched by 25%. The metals buckle to a wave upon release of the PDMS from the prestretched position. The electrical resistance of the Au was measured as a function of applied tensile strain. Results show the metal remains electrically conductive up to 100% strain and maintains electrical continuity under repeated mechanical deformation. Presented are the sample fabrication, surface topography, and results of experiments conducted on these stretchable wavy metals.

192 citations


Journal ArticleDOI
TL;DR: The Co3O4(110) single crystal surface has been characterized by low energy electron diffraction (LEED), Auger electron spectroscopy, and x-ray photoelectron spectroscope (XPS).
Abstract: The Co3O4(110) single crystal surface has been characterized by low energy electron diffraction (LEED), Auger electron spectroscopy, and x-ray photoelectron spectroscopy (XPS). LEED analysis of the clean Co3O4(110) spinel surface shows a well-ordered pattern with sharp diffraction features. The XPS spectra are consistent with stoichiometric Co3O4 as determined by the concentration ratio of oxygen to cobalt (CO/CCo) and spectral peak shape. In particular, the cobalt 2p XPS spectra are characteristic of the spinel structure with Co3+ occupying octahedral sites and Co2+ in tetrahedral sites within the lattice. During prolonged heating at 630 K, bulk impurities of K, Ca, Na, and Cu segregated to the surface. Sodium desorbed from the surface as NaOH at 825 K, potassium and calcium were only removed by sputtering since no desorption from the surface was detected for temperatures up to 1000 K. Copper also disappeared upon heating above 700 K, most likely by desorbing although the possibility of diffusion back into the bulk could not be eliminated. The appearance of copper impurities correlated with Co3O4(110) surface reduction to CoO, and the surface could not be fully reoxidized even upon extended oxygen annealing as long as the copper impurity remained on the surface. Upon removal of the Cu from the near-surface region, the surface was easily reoxidized to Co3O4 by O2.

170 citations


Journal ArticleDOI
TL;DR: In this paper, Monte Carlo simulations were carried out to predict the island density, island size distribution, and island-island correlation during the initial stages of growth and isolated columnar structures were shown to grow on these islands and the width W of the columns is predicted.
Abstract: Growth front morphology of a thin film formed by physical vapor deposition is controlled by many factors including surface diffusion and shadowing effects. Instabilities can occur if shadowing is more dominant compared to other surface effects and can lead to many diverse physically self-assembled three-dimensional nano-size structures. In this article, we explore the fundamental nucleation and growth mechanisms of the nanostructures during oblique angle deposition. Monte Carlo simulations were carried out to predict the island density, island size distribution, and island–island correlation during the initial stages of growth. The results were compared to that obtained by the oblique angle sputter deposited tungsten films imaged by atomic force microscopy and scanning electron microscopy. Isolated islands with quasiperiodic distribution were formed as a natural consequence of the shadowing effect. Isolated columnar structures are shown to grow on these islands and the width W of the columns is predicted ...

125 citations


Journal ArticleDOI
TL;DR: In this paper, radio frequency sputtering has been used to deposit α-alumina (α-Al2O3) thin films at substrate temperatures of 280-560°C.
Abstract: Radio frequency sputtering has been used to deposit α-alumina (α-Al2O3) thin films at substrate temperatures of 280–560 °C. The films are shown to be single phased and hard. Nanoindentation gives values of 306±31 and 27±3 GPa for elastic modulus and hardness, respectively, for a substrate temperature of 280 °C. Growth of the α phase was achieved by in situ predeposition of a chromia template layer. Chromia crystallizes in the same hexagonal structure as α-alumina, with a lattice mismatch of 4.1% in the a- and 4.6% in the c-parameter, and is shown to nucleate readily on the amorphous substrates (silicon with a natural oxide layer). This results in local epitaxy of α-alumina on the chromia layer, as is shown by transmission electron microscopy. The alumina grains are columnar with grain widths increasing from 22±7 to 41±9 nm, as the temperature increases from 280 to 560 °C. This is consistent with a surface diffusion dominated growth mode and suggests that α-alumina deposition at low temperatures is possibl...

106 citations


Journal ArticleDOI
TL;DR: In this article, results from computational and experimental investigations of the plasma chemistry of inductively coupled plasmas (ICPs) sustained in Ar, O2, Ar/c-C4F8/O2/CO, and O2/c 4F8 gas mixtures with and without magnetic confinement are discussed.
Abstract: Gas mixtures containing Ar, c-C4F8, O2, and CO are often used for the plasma etching of silicon dioxide. Gas phase reaction mechanisms are required for first principles modeling of these systems to both provide insights to the plasma chemistry and to help optimize the process. In this article, results from computational and experimental investigations of the plasma chemistry of inductively coupled plasmas (ICPs) sustained in Ar, O2, Ar/c-C4F8 and O2/c-C4F8 gas mixtures with and without magnetic confinement are discussed. These results were used to develop a reaction mechanism for low-pressure and low-temperature plasmas sustained in mixtures initially consisting of any combination of Ar/c-C4F8/O2/CO. Predictions for ion saturation current and ion mass fractions were compared to experiments for validation. The consequences of charge exchange of fluorocarbon species with Ar+ and CO+ on the ratio of light to heavy fluorocarbon ion densities in Ar/c-C4F8/O2/CO plasmas are discussed. We found that the electron density and ion saturation current significantly increase with the addition of Ar to c-C4F8 but weakly depend on the addition of O2. The ratio of light to heavy fluorocarbon ion densities increases with power, especially for ICPs with magnetic confinement.

106 citations


Journal ArticleDOI
TL;DR: In this paper, a co-injection of tetrakis(ethylmethylamino)hafnium (TEMAHf) precursors and ozone was used for HfO2 and HfxSi1−xO2 dielectric thin films.
Abstract: Atomic layer deposition (ALD) processes for HfO2 and HfxSi1−xO2 high-k dielectric thin films using liquid precursors and ozone were evaluated. Tetrakis(ethylmethylamino)hafnium (TEMAHf) precursor provides HfO2 films with superior quality as compared to Hf(t-butoxide)4 precursor in terms of deposition rate, purity, and electrical properties of the films. ALD processes for hafnium silicate films have been developed by co-injection of TEMAHf and tetrakis(ethylmethylamino) silicon precursors. Alternating pulses of the Hf/Si precursor vapor mixture and ozone allow process temperatures below 400 °C to grow HfxSi1−xO2 film. The Hf and Si precursors can be converted to vapor for delivery to the deposition chamber either by bubbling an inert carrier gas separately through each liquid or by using a liquid vaporization unit. The co-injection process enables the formation of homogeneous single-layer hafnium silicate films as deposited.

104 citations


Journal ArticleDOI
TL;DR: Magnetic field effects (MFEs) in the luminescence and photoconductivity of organic crystals such as anthracene have been known since the 1960s as discussed by the authors, while engaged in a project to use spin polarized current to manipulate electroluminescence (EL) in organic light emitting diodes based on a bilayer of tris(8-hydroxyquinoline) aluminum (Alq3) and N,N′-Di(naphthalen-1-yl)-N,N´diphenyl-benzidine (NPB
Abstract: Magnetic field effects (MFEs) in the luminescence and photoconductivity of organic crystals such as anthracene have been known since the 1960s. While engaged in a project to use spin polarized current to manipulate electroluminescence (EL) in organic light emitting diodes based on a bilayer of tris(8-hydroxyquinoline) aluminum (Alq3) and N,N′-Di(naphthalen-1-yl)-N,N′diphenyl-benzidine (NPB), we observed MFE similar to those reported in anthracene. The MFE consist of an increase in EL with increasing magnetic field of a few percent for small magnetic fields, a decrease in EL of greater than 20% at high fields and an increase in conductivity, i.e., negative magnetoresistance (MR), for all magnetic fields. The high field effect (HFE) is enhanced at lower temperatures and higher current densities and is similar to that reported for delayed luminescence in anthracene single crystals suggesting that triplet–triplet annihilation (TTA) influences light emission in Alq3. Transient EL studies were performed in an a...

101 citations


Journal ArticleDOI
TL;DR: In this paper, the parameters of reactive pulsed laser deposition were successfully optimized for fabrication of vanadium dioxide thin films, and the structural properties of the deposited films were analyzed by x-ray diffraction, while their semiconductor-to-metal phase transitions were studied by electrical resistivity using the four-point technique and infrared transmittance from room temperature up to 100'°C.
Abstract: The parameters of reactive pulsed laser deposition were successfully optimized for fabrication of vanadium dioxide thin films. It is observed that the O2 concentration in Ar gas and the total deposition pressure are critical in stabilizing the single VO2 phase. Thermochromic VO2 and V1−xWxO2 (x=0.014) thin films were synthesized on various substrates (silicon, quartz, and sapphire) at 5% of O2/Ar ratio gas and total pressure of 90 mTorr. The structural properties of the deposited films were analyzed by x-ray diffraction, while their semiconductor-to-metal phase transitions were studied by electrical resistivity using the four-point technique and infrared transmittance from room temperature up to 100 °C. The observed transition temperature was about 36 °C for W-doped VO2 compared to 68 °C for VO2 films. This transition temperature was then lowered by about 22.85 °C per 1 at. % of W added. The temperature coefficient of resistance was about 1.78%/°C for VO2 and about 1.90%/°C for W-doped VO2. Using the pump...

Journal ArticleDOI
TL;DR: In this article, the authors described the preparation of low resistivity polycrystalline Al-doped ZnO (AZO) thin films on glass substrates by a pulsed laser deposition method.
Abstract: The preparation of low resistivity polycrystalline Al-doped ZnO (AZO) thin films on glass substrates by a pulsed laser deposition method is described, including a description of conditions necessary to obtain low resistivity on the order of 1×10−4 Ω cm. The resistivity of transparent conducting AZO films obtained was affected by both the target sintering conditions and the deposition conditions. A decrease in carrier concentration associated with a increase in deposition temperature is ascribed to an increase of oxygen content in the films, resulting from enhancement of oxidation on the substrate surface. An increase in Hall mobility associated with increases of either the deposition temperature or film thickness is attributed to imperfections of the ZnO lattice, as evidenced by improvement of the crystallinity such as an increase of crystallite size. It was found that the mobility is also greatly influenced by a combination of ionized impurity scattering and grain boundary scattering or dislocation scatt...

Journal ArticleDOI
TL;DR: In this paper, molecular dynamics simulations have been used to study the thermal behavior of FeN−mCm clusters where N, the total number of atoms, extends up to 2400, and the simulations yield the correct trends for the liquid-solid region of the iron-carbide phase diagram as well as the correct dependence of cluster melting point as a function of cluster size.
Abstract: Molecular dynamics simulations have been used to study the thermal behavior of FeN−mCm clusters where N, the total number of atoms, extends up to 2400. Comparison of the computed results with experimental data shows that the simulations yield the correct trends for the liquid–solid region of the iron-carbide phase diagram as well as the correct dependence of cluster melting point as a function of cluster size. The calculation indicates that, when carbon nanotubes (CNTs) are grown on large (>3–4 nm) catalyst particles at low temperatures (<1200 K), the catalyst particles are not completely molten. It is argued that the mechanism of CNT growth under these conditions may be governed by the surface melting of the cluster.

Journal ArticleDOI
TL;DR: In this article, the effects of pressure, rf-bias voltage, and SF6-to-O2 gas ratio on the etch rate, selectivity, and feature profile using Si wafers patterned with 0.35-0.5 μm diameter holes in a SiO2 mask were investigated.
Abstract: We have investigated etching of deep (∼10 μm) submicron diameter holes with high aspect ratios (>10) using plasmas maintained in mixtures of SF6 and O2 gases. The etching experiments were conducted in a low-pressure (5–80 mTorr), high-density, inductively coupled plasma etching reactor with a planar coil. We have studied the effects of pressure, rf-bias voltage, and SF6-to-O2 gas ratio on the etch rate, selectivity, and feature profile using Si wafers patterned with 0.35–0.5 μm diameter holes in a SiO2 mask. Visualization of the profiles with scanning electron microscopy is used in conjunction with plasma diagnostics such as optical emission and mass spectroscopies to understand the key factors that control the anisotropy, selectivity, and etch rate. The F-to-ion flux ratio and F-to-O flux ratio are found to be the important plasma parameters that determine the etch rate and anisotropy. Increasing the SF6-to-O2 ratio in the feed gas increases the F-to-O ratio in the plasma. At high SF6-to-O2 ratio, the ma...

Journal ArticleDOI
TL;DR: In this paper, it was shown that through the application of thin, dense, plasma-based inorganic coatings, one can significantly reduce the oxygen and moisture permeation rate through polycarbonate films.
Abstract: The use of polycarbonate film substrates enables fabrication of applications, such as flexible display devices, lighting devices, and other flexible electro-optical devices, using low cost, roll-to-roll fabrication technologies. One of the limitations of bare polycarbonate material in these applications is that oxygen and moisture rapidly diffuse through the material and subsequently degrade the electro-optical devices. This article summarizes recent results obtained at GE Global Research to solve the oxygen and moisture diffusion issue. It will be shown that through the application of thin, dense, plasma-based inorganic coatings one can significantly reduce the oxygen and moisture permeation rate through polycarbonate films. However, as a result of defects that are commonly present in these inorganic coatings there is a limit to the performance of such barrier coatings. To further improve the barrier performance, advanced barrier coatings comprising both inorganic and organic materials have been developed. Both modeling and experimental results will be presented that explain why these hybrid material barrier coatings are capable of reaching ultrahigh barrier performance.

Journal ArticleDOI
TL;DR: In this paper, the advantages and limitations of the three types of metal silicides, namely TiSi2, CoSi2 and NiSi, were discussed and the reactive diffusion and phase formation of these silicides in the three terminals of a MOSFET, i.e., gate, source, and drain, were analyzed.
Abstract: Metal silicides continue to play an indispensable role during the remarkable development of microelectronics. Along with several other technological innovations, the implementation of the self-aligned silicide technology paved the way for a rapid and successful miniaturization of device dimensions for metal–oxide–semiconductor field-effect transistors (MOSFETs) in pace with the Moore’s law. The use of silicides has also evolved from creating reliable contacts for diodes, to generating high-conductivity current paths for local wiring, and lately to forming low-resistivity electrical contacts for MOSFETs. With respect to the choice of silicides for complementary metal–oxide–semiconductor (CMOS) technology, a convergence has become clear with the self-alignment technology using only a limited number of silicides, namely TiSi2, CoSi2, and NiSi. The present work discusses the advantages and limitations of TiSi2, CoSi2, and NiSi using the development trend of CMOS technology as a measure. Specifically, the reactive diffusion and phase formation of these silicides in the three terminals of a MOSFET, i.e., gate, source, and drain, are analyzed. This work ends with a brief discussion about future trends of metal silicides in micro/nanoelectronics with reference to potential material aspects and device structures outlined in the International Technology Roadmap for Semiconductors.

Journal ArticleDOI
TL;DR: In this article, tetrakis-dimethyl-amino-titanium (TiN) films were deposited by remote plasma enhanced atomic layer deposition (PEALD) method using tetrasdimethylamino titanium precursor and hydrogen, hydrogen/nitrogen mixture, and nitrogen plasmas.
Abstract: TiN films were deposited by remote plasma enhanced atomic layer deposition (PEALD) method using tetrakis-dimethyl-amino-titanium precursor and hydrogen, hydrogen/nitrogen mixture, and nitrogen plasmas. Remote PEALD method showed a relatively wide temperature window compared to that of conventional ALD process due to the increased reactivity of reactant gas. TiN films showed significantly lower impurity contents than those of the films deposited by other methods such as plasma enhanced chemical vapor deposition, metalorganic chemical vapor deposition, and conventional ALD using the same precursor. TiN films deposited using N2 plasma showed better characteristics than the films deposited using H2 and H2/N2 mixture plasmas. TiN films deposited by remote PEALD at 250 °C showed the resistivity value as low as about 300 μΩ cm and exhibited excellent conformal step coverage on 0.25-μm-wide and 2.5-μm-deep contact hole structure.

Journal ArticleDOI
TL;DR: In this article, a phenomenological model that takes into account the Cl density, BCl2+ density, and metal oxygen bond strength is proposed to describe the etch rate of ZrO2 and HfO2 in pure BCl3 plasmas as functions of the ion energy, microwave power, and chamber pressure.
Abstract: Plasma etching of ZrO2 and HfO2 was studied in BCl3/Cl2 plasmas, as functions of the ion energy, chamber pressure, microwave power, and gas compositions. MClx is found to be the major etching product in Cl2 plasmas while MBxCly is the major etching product in BCl3 plasmas. The etching selectivity to Si is increased at lower ion energies and higher electron temperatures. Increasing microwave powers and reducing chamber pressures in BCl3/Cl2 plasmas increased the Cl and BCl2+ densities in the gas phase and consequently increased the metal oxide etch rate. A phenomenological model that takes into account the Cl density, BCl2+ density, and metal oxygen bond strength is proposed to describe the etch rate of ZrO2 and HfO2 in pure BCl3 plasmas as functions of the ion energy, microwave power, and chamber pressure. More accurate Cl flux measurement is needed to improve the model predictions.

Journal ArticleDOI
TL;DR: In this paper, the films of ZnO-SnO2 system were deposited on glass substrates by simultaneous dc magnetron sputtering apparatus, in which Zn and SnO2:Sb (Sb2O5 3 wt % doped) targets faced each other.
Abstract: The films of ZnO–SnO2 system were deposited on glass substrates by simultaneous dc magnetron sputtering apparatus, in which ZnO and SnO2:Sb (Sb2O5 3 wt % doped) targets faced each other. The substrate temperatures were maintained at 150, 250, and 350 °C, respectively. As an experimental parameter, current ratio δ=IZn/(IZn+ISn), which corresponds to ZnO target current (IZn) divided by the sum of ZnO and SnO2:Sb target currents (IZn+ISn), was adopted. Amorphous transparent films appeared for 0.50⩽δ⩽0.73, which could be correlated to compositions as [Zn]/([Sn]+[Zn])=0.33–0.67 by x-ray fluorescent analysis. At [Zn]/([Sn]+[Zn])=1/2 (δ=0.62), 2/3 (δ=0.73) and all other ratios in as-deposited films, neither crystalline ZnSnO3 nor Zn2SnO4 was obtained. Minimum resistivity of 4–6×10−2 Ω cm was found at δ=0.50, whose composition was approximately SnO2⋅ZnSnO3. Resistivity increased linearly with an increase of the current ratio, until the composition reached Zn2SnO4. The amorphous phase showed a constant Hall mobility of ∼10 cm2/V s and a linear decrease in carrier concentration with increasing Zn content.

Journal ArticleDOI
TL;DR: In this article, a combination of x-ray photoelectron spectroscopy, Fourier transform infrared spectrometry, and elastic recoil detection was used to demonstrate that SiO2/TiO2 is a single-phase material.
Abstract: SiO2 and TiO2, with their high refractive index contrast, are interesting candidates for the fabrication of graded-index optical filters. In this work, SiO2/TiO2 mixtures were prepared by plasma-enhanced chemical vapor deposition from SiCl4 and TiCl4. By controlling the gas flow ratio, it is possible to obtain coatings with refractive index values between 1.48, for SiO2, and 2.35, for TiO2, and with an extinction coefficient below 10−4 in the visible and near-infrared regions. The optical properties of the mixtures do not respect the Bruggeman effective medium approximation that supposes two separate phases. Using a combination of x-ray photoelectron spectroscopy, Fourier transform infrared spectrometry, and elastic recoil detection, we demonstrate that SiO2/TiO2 is a single-phase material. Two separate phases can develop at certain compositions by annealing-induced precipitation.

Journal ArticleDOI
TL;DR: In this paper, a self-assembled organic thin films of dodecanethiol (DT), mercaptobenzothiazole (MBT), BTA, imidazole (IMD), and benzothiazoles (BT) are characterized by x-ray photoelectron spectroscopy.
Abstract: Self-assembled organic thin films of dodecanethiol (DT), mercaptobenzothiazole (MBT), benzotriazole (BTA), imidazole (IMD) and benzothiazole (BT) are formed by adsorption on the surface of copper thin film used in ultralarge-scale integrated circuits. The films are characterized by x-ray photoelectron spectroscopy. The inhibition of corrosion of these organic thin films is investigated in aerated 0.5 M H2SO4 solutions by electrochemical impedance spectroscopy and potentiodynamic polarization techniques. The presence of these films reduced corrosion by blocking the copper surface from the oxygen dissolved in the acid medium. The relative inhibition efficiencies of these inhibiting agents in preventing copper oxidation are found to be in the order of DT>MBT>BT>BTA>IMD. The effectiveness of the inhibitors increased with the temperature, concentration of the inhibitors, and duration of immersion in the solution. An adsorption model is proposed on the basis of variation of the impedance according to the inhibitor concentration. The stability and packing of the inhibitors on the surface appear to be the most important factors in determining the inhibitive efficiency of the inhibitors.

Journal ArticleDOI
TL;DR: In this paper, a combined simulator linking gas flux data from a plasma reactor (experimental or simulated) to the feature profile evolution during etching/deposition processes is described, which results from the coupling of surface etch, local flux calculation, and profile evolution modules.
Abstract: A combined simulator linking gas flux data from a plasma reactor (experimental or simulated) to the feature profile evolution during etching/deposition processes is described. This combined simulator results from the coupling of surface etch, local flux calculation, and profile evolution modules. It is a modular tool, in the sense that different phenomena, surface models, and structures can be incorporated. In this work the combined simulator is applied in two processes: (a) in SiO2 feature etching to simulate reactive ion etching (RIE) lag and inverse RIE lag and (b) in etching of high aspect ratio Si trenches using the Bosch process. The profile evolution algorithm of the combined simulator, namely, the level set method, is applied to a process where etching and deposition occur, and tracking of two materials (Si and polymer formed during the Bosch deposition step) is needed.

Journal ArticleDOI
TL;DR: In this article, physical models and numerical simulations are used to describe the thermal-mechanical process induced by high current pulsed electron beam (energy E=10−40'kV, energy density in a pulse S=1−6'J/cm2, pulse duration τ=0.5−5 μs) irradiation.
Abstract: Physical models and numerical simulations are used to describe the thermal-mechanical process induced by high current pulsed electron beam (energy E=10–40 keV, energy density in a pulse S=1–6 J/cm2, pulse duration τ=0.5–5 μs) irradiation. The temperature simulation reveals ultrahigh heating and cooling rates on the order of 108–109 K/s, rapid melting and re-solidification within microseconds in the range of micrometers in depth, and evaporation of the surface material. The temperature-induced nonstationary thermal stress fields consist mainly of quasistatic stress and thermal stress waves. The thermal stress wave is a typical nonlinear wave with small amplitudes of about 0.1 MPa, which manifests, however, a strong impact on materials structure and properties far beyond the heat-affected zone. The quasistatic stress is coupled with the temperature field and the maximum compressive stress in the near surface layer reaches several hundreds of MPa, which is sufficiently high for metallic materials to deform. ...

Journal ArticleDOI
TL;DR: In this article, the effects of temperature and structural characteristics of the substrate on the growth, surface morphology, and local atomic structure of V2O5 thin films were probed with atomic force microscopy (AFM) and Raman spectroscopy (RS).
Abstract: V2O5 thin films were produced by pulsed laser deposition (PLD) over a wide temperature range, 30–500 °C. The effects of temperature and structural characteristics of the substrate on the growth, surface morphology, and local atomic structure of V2O5 films were probed with atomic force microscopy (AFM) and Raman spectroscopy (RS). The growth mode and microstructure evolution were strongly dependent on the substrate temperature. The onset of crystallization occurred at 200 °C with an activation energy of 0.43–0.55 eV. Polycrystalline PLD V2O5 films with layered structure exhibited the same local structural symmetry found in crystalline orthorhombic bulk V2O5.

Journal ArticleDOI
TL;DR: In this article, modulated beam line-of-sight threshold ionization mass spectrometry (LOS-TIMS) was used to measure absolute O, O2, and Ar densities, and the average neutral-gas temperature in an O2/Ar electrical discharge as a function of pressure in the plasma chamber and the mole fraction of Ar in O2; the pressure and mole fraction range was 25-200 mTorr and 0-0.90, respectively.
Abstract: Using modulated beam line-of-sight threshold ionization mass spectrometry (LOS-TIMS) we measured absolute O, O2, and Ar densities, and the average neutral-gas temperature in an O2/Ar electrical discharge as a function of pressure in the plasma chamber and the mole fraction of Ar in O2; the pressure and mole fraction range was 25–200 mTorr and 0–0.90, respectively. Although LOS-TIMS is a versatile tool for measuring absolute radical densities, it requires careful vacuum design and calibration to account for various sources of error such as the contribution to the quadrupole mass spectrometer (QMS) ion current from the background gases, the ion mass-to-charge ratio dependent sensitivity of the various QMS components, and space-charge limitations in the QMS ionizer. In addition, collisions within the molecular beam extracted from the discharge must be taken into account particularly for higher plasma chamber pressures (>75 mTorr). In our measurements, these effects are carefully considered and the consequences of ignoring them are discussed. The O atom density increases with pressure and O2 mole fraction in the feed gas and is in the range of 2.1×1018–2.6×1019 m−3. At low pressures, our measurements show that the O2 translational temperature is higher than that for Ar.

Journal ArticleDOI
TL;DR: In this article, the etching yields of SiO2 have been determined for F+, CFx+ (x=1,2,3) ion irradiation with energy ranging from 250 to 2000 eV using a mass-analyzed ion-beam apparatus that can irradiate a single species ion to sample surfaces under an ultrahigh vacuum condition.
Abstract: Etching yields of SiO2 have been determined for F+, CFx+ (x=1,2,3) ion irradiation with energy ranging from 250 to 2000 eV using a mass-analyzed ion-beam apparatus that can irradiate a single species ion to sample surfaces under an ultrahigh vacuum condition. The etching yield of CFx+ (x=1,2,3) was enhanced by the chemical effects of the ions, and both carbon and fluorine atoms from the incident ions were significant reactants. For lower energies, the etching yield increased with increasing ion energy. Above 1000 eV, the etching yield was gradually saturated with increasing ion energy. In the low ion energy region, steady etching did not occur, and an amorphous fluorinated carbon (a-C:F) film was deposited on the SiO2 surface. The ion energy region in which a-C:F film deposition occurred decreased with increasing fluorine atoms in incident CFx+ (x=1,2,3) ions.

Journal ArticleDOI
TL;DR: In this article, the authors characterized materials deposited in continuous wave (cw) and pulsed low-pressure octafluoropropane (C3F8) plasmas using Fourier transform infrared spectroscopy and scanning electron microscopy.
Abstract: Materials deposited in continuous wave (cw) and pulsed low-pressure octafluoropropane (C3F8) and octafluorocyclobutane (c-C4F8) plasmas were characterized using Fourier transform infrared spectroscopy (FTIR), x-ray photoelectron spectroscopy, static contact angle measurements, spectroscopic ellipsometry, and scanning electron microscopy (SEM). Fluorocarbon (FC) materials deposited in pulsed plasmas were less crosslinked than those deposited in cw plasmas with equivalent input powers. Within each system, higher F/C ratio materials were deposited by lowering the plasma input power/duty cycle. Using downstream depositions had a similar effect on film composition, but also resulted in decreased deposition rates. SEM analysis showed that decreases in the flexibility of the fluorocarbon films were correlated with increases in the percent of crosslinking. Additionally, the smoothness of the film surfaces suggests that polymerization processes occur on the substrate surface. Overall, films deposited in C4F8 plasm...

Journal Article
TL;DR: In this article, two techniques are presented for measuring the refractive index of fluids, reflective and refractive, where liquid is applied to a rough surface to hold the liquid during measurement and the fluid index is then determined using the prism minimum deviation technique.
Abstract: Two techniques are presented for measuring the refractive index of fluids. The first is a reflective technique where liquid is applied to a rough surface to hold the liquid during measurement. Ellipsometric psi and delta data are acquired and analyzed to determine the fluid refractive index. The second technique is refractive and uses a hollow prism cell to contain the liquid. The fluid index is then determined using the prism minimum deviation technique. Both techniques have been applied over a very wide spectral range from the vacuum ultraviolet to the infrared and have been implemented on a research spectroscopic ellipsometer system (VUV-VASE®) with continuously variable angle of incidence. The refractive index of several candidate immersion fluids for 157 and 193nm immersion lithography are reported over the spectral range from 156to1700nm in a nitrogen-purged environment. The advantages and disadvantages of both techniques are discussed. Results were checked against values measured on very accurate p...

Journal ArticleDOI
TL;DR: In this article, the Monte Carlo Feature Profile Model was modified to address these two-phase systems and was validated by comparison to experiments by others for etching of porous silicon-dioxide (PS) and solid SiO2 (SS).
Abstract: Increases in RC delay times in interconnect wiring for microelectronics as feature sizes decrease have motivated investigations into the use of low-dielectric constant insulators, and in particular, porous silicon-dioxide (PS). Profile evolution and maintenance of critical dimensions during plasma etching of PS are problematic due to the exposure of open pores. To investigate these issues, reaction mechanisms for fluorocarbon plasma etching of SiO2 in C2F6, CHF3, and C4F8 chemistries have been developed and incorporated into the Monte Carlo Feature Profile Model which was modified to address these two-phase systems. The reaction mechanism was validated by comparison to experiments by others for etching of PS and solid SiO2 (SS). We found that the etch rates for PS are generally higher than that of SS due to the inherently lower mass fraction. Mass corrected etch rates of PS can be larger or smaller than those for SS depending on the degree of pore filling by polymer and the degree of ion activated chemica...

Journal ArticleDOI
TL;DR: In this paper, a 50nm-width metal-oxide-semiconductor (MOS) gate etching process was established using a recently developed neutral-beam etching system by optimizing the gas chemistry and the electrode bias condition.
Abstract: A 50-nm-width metal-oxide-semiconductor (MOS) gate etching process was established using a recently-developed neutral-beam etching system by optimizing the gas chemistry and the electrode bias condition. In a comparison with poly-Si gate etching using either SF6 or Cl2 gas chemistries, opposite etching characteristics were observed in the pattern profile. Consequently, the use of a mixture of these gases was proposed in order to achieve fine control of the etching profiles. The energy of the neutral beam was increased by applying a 600 kHz rf bias to the bottom electrode. The rf bias was very effective in increasing the etch rate and the anisotropy of the poly-Si gates, with no deterioration of the neutralization efficiency. The oxide leakage current achieved for a MOS capacitor etched by the neutral beam was one order of magnitude lower than that achieved by conventional plasma etching.