scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 2017"


Journal ArticleDOI
TL;DR: In this article, the authors describe the history of thin-film growth by sputter deposition, which required the development of vacuum pumps and electrification of the electrical system to deal with the problem.
Abstract: Thin films, ubiquitous in todays world, have a documented history of more than 5000 years. However, thin-film growth by sputter deposition, which required the development of vacuum pumps and electr ...

188 citations


Journal ArticleDOI
TL;DR: In this paper, an anisotropic (anisotropic) plasma-enhanced approach was used for atomic layer etching of Si, Ge, C, W, GaN, and SiO2.
Abstract: Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters [J. Appl. Phys. 50, 5 (1979)]. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bu...

77 citations


Journal ArticleDOI
TL;DR: The involvement of plasma produced species in the reduction of silver ions at the plasma-liquid interface is investigated using a well-characterized radio-frequency driven atmospheric pressure plasma jet as discussed by the authors.
Abstract: The involvement of plasma produced species in the reduction of silver ions at the plasma–liquid interface is investigated using a well-characterized radio-frequency driven atmospheric pressure plasma jet. The absolute gas phase H density was measured using two photon absorption laser induced fluorescence in the free jet. Broadband absorption and transmission electron microscopy were used to study the synthesis of silver nanoparticles (AgNPs). It is shown that fructose, an often used surfactant/stabilizer for AgNP synthesis, also acts as a reducing agent after plasma exposure. Nonetheless, surfactant free AgNP synthesis is observed. Several experimental findings indicate that H plays an important role in the reduction of silver ions for the plasma conditions in this study. Vacuum ultraviolet photons generated by the plasma are able to reduce silver ions in the presence of fructose. Adding H2 to the argon feed gas leads to the production of a large amount of AgNPs having a particle size distribution with a ...

76 citations


Journal ArticleDOI
TL;DR: In this article, a new route for a selective deposition of thin oxide by atomic layer deposition is discussed, which is using super cycles made of an additional plasma etching step in a standard plasma enhanced atomic layer deblurring (PEALD) process.
Abstract: In this paper, a new route for a selective deposition of thin oxide by atomic layer deposition is discussed. The proposed process is using super cycles made of an additional plasma etching step in a standard plasma enhanced atomic layer deposition (PEALD) process. This allows the selective growth of a thin oxide on a metal substrate without a specific surface deactivation by means of self assembled monolayer. It is shown that adding a small amount of NF3 etching gas to an oxygen plasma gas every eight cycles of the PEALD process helps to fully remove the Ta2O5 layer on Si and/or SiO2 surface while keeping few nanometers of Ta2O5 on the TiN substrate. NF3 addition is also used to increase the incubation time before Ta2O5 growth on Si or SiO2 substrate. In this way, a selective deposition of Ta2O5 on the TiN substrate is obtained with properties (density, leakage current…) similar to the ones obtained in a conventional PEALD mode. Hence, the authors demonstrate that a future for selective deposition could b...

71 citations


Journal ArticleDOI
TL;DR: The Virtual Project on the history of ALD (VPHA) is a volunteer-based effort with open participation, set up to make the early days of atomic layer deposition more transparent.
Abstract: Atomic layer deposition (ALD), a gas-phase thin film deposition technique based on repeated, self-terminating gas-solid reactions, has become the method of choice in semiconductor manufacturing and many other technological areas for depositing thin conformal inorganic material layers for various applications. ALD has been discovered and developed independently, at least twice, under different names: atomic layer epitaxy (ALE) and molecular layering. ALE, dating back to 1974 in Finland, has been commonly known as the origin of ALD, while work done since the 1960s in the Soviet Union under the name "molecular layering" (and sometimes other names) has remained much less known. The virtual project on the history of ALD (VPHA) is a volunteer-based effort with open participation, set up to make the early days of ALD more transparent. In VPHA, started in July 2013, the target is to list, read and comment on all early ALD academic and patent literature up to 1986. VPHA has resulted in two essays and several presentations at international conferences. This paper, based on a poster presentation at the 16th International Conference on Atomic Layer Deposition in Dublin, Ireland, 2016, presents a recommended reading list of early ALD publications, created collectively by the VPHA participants through voting. The list contains 22 publications from Finland, Japan, Soviet Union, United Kingdom, and United States. Up to now, a balanced overview regarding the early history of ALD has been missing; the current list is an attempt to remedy this deficiency.

70 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the self-limited etch of silicon nitride in a commercial plasma etch chamber, which consists of two sequential steps, surface modification in hydrogen plasma followed by the removal of modified layers in fluorinated plasma.
Abstract: Atomic layer etching (ALE) is a promising technique that can solve the challenges associated with continuous or pulsed plasma processes—trade-offs between selectivity, profile, and aspect ratio dependent etching. Compared to silicon, oxide, and other materials, atomic layer etching of silicon nitride has not been extensively reported. In this paper, the authors demonstrate the self-limited etching of silicon nitride in a commercial plasma etch chamber. The process discussed in this paper consists of two sequential steps—surface modification in hydrogen plasma followed by the removal of modified layers in fluorinated plasma. In addition to the ALE characteristics, the authors also demonstrate that the process is anisotropic and the selectivity to oxide is >100. Although the saturated etch rate of one monolayer per cycle could not be attained, self-limited etching of silicon nitride still enables us to incorporate the benefits of atomic layer etching such as an absence of isodense bias and an extremely high selectivity to oxide into practical etch applications.

48 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of the wet-cleaning process using solvents and detergent on the surface chemistry of MgO(001) substrate for film deposition was investigated.
Abstract: The effect of the wet-cleaning process using solvents and detergent on the surface chemistry of MgO(001) substrate for film deposition was investigated. Six different wet-cleaning processes using solvent and detergent were compared. The effect on film growth was studied by the example system ScN. The surface chemistry of the cleaned surface was studied by x-ray photoelectron spectroscopy and the film/substrate interface after film growth was investigated by time-of-flight secondary ion mass spectroscopy. The surface composition is dependent on the wet-cleaning process. Sonication in a detergent before the solvents yield a pure oxide surface compared to hydroxide/carbonate contaminated surface for all the other processes. An annealing step is efficient for the removal of carbon contamination as well as most of the hydroxide or carbonates. The study of the film/substrate interface revealed that the wet-cleaning process significantly affects the final interface and film quality. The substrate cleaned with de...

48 citations


Journal ArticleDOI
TL;DR: In this article, the residual stress, adhesion, and mechanical properties of the ALD nanolaminates composed of aluminum oxide (Al2O3) and titanium dioxide (TiO2) films on silicon were explored as a function of growth temperature (110-300°C), film thickness (20-300nm), bilayer thickness (0.1-100nm), and TiO2 content (0%−100%).
Abstract: Atomic layer deposition (ALD) is based on self-limiting surface reactions. This and cyclic process enable the growth of conformal thin films with precise thickness control and sharp interfaces. A multilayered thin film, which is nanolaminate, can be grown using ALD with tuneable electrical and optical properties to be exploited, for example, in the microelectromechanical systems. In this work, the tunability of the residual stress, adhesion, and mechanical properties of the ALD nanolaminates composed of aluminum oxide (Al 2O3) and titanium dioxide (TiO2) films on silicon were explored as a function of growth temperature (110–300 °C), film thickness (20–300 nm), bilayer thickness (0.1–100 nm), and TiO2 content (0%–100%). Al 2O3 was grown from Me3 Al and H2O, and TiO2 from TiCl4 and H2O. According to wafer curvature measurements, Al 2O3/TiO2 nanolaminates were under tensile stress; bilayer thickness and growth temperature were the major parameters affecting the stress; the residual stress decreased with increasing bilayer thickness and ALD temperature. Hardness increased with increasing ALD temperature and decreased with increasing TiO2 fraction. Contact modulus remained approximately stable. The adhesion of the nanolaminate film was good on silicon.

45 citations


Journal ArticleDOI
TL;DR: In this article, a generalized methodology combining thermodynamic assessment and kinetic verification of surface reactions is presented for tailoring plasma-surface interactions at the atomic scale for a wide range of materials.
Abstract: Building upon the depth and breadth of Harold Winters's work, this paper pays tribute to his pioneering contribution in the field of plasma etching of metals, and how that knowledge base helps guide the fundamental research in these areas. The fundamental understanding of the plasma–surface interactions during metal etch is key to achieve desirable etch efficacy and selectivity at the atomic scale. This paper presents a generalized methodology, combining thermodynamic assessment and kinetic verification of surface reactions, using copper, magnetic metals, and noble metals as examples, in an effort to demonstrate the applicability of this strategy in tailoring plasma–surface interactions at the atomic scale for a wide range of materials.

45 citations


Journal ArticleDOI
TL;DR: The material plasma exposure experiment (MPEX) is a newly proposed steady state linear plasma device designed to deliver the necessary plasma heat flux to a target for testing, including the capability to expose a priori neutron-damaged material samples to those plasmas as discussed by the authors.
Abstract: Plasma-facing materials in the divertor of a magnetic fusion reactor have to tolerate steady state plasma heat fluxes in the range of 10 MW/m2 for ∼107 s, in addition to fusion neutron fluences, which can damage the plasma-facing materials to high displacements per atom (dpa) of ∼50 dpa. Materials solutions needed for the plasma-facing components are yet to be developed and tested. The material plasma exposure experiment (MPEX) is a newly proposed steady state linear plasma device designed to deliver the necessary plasma heat flux to a target for testing, including the capability to expose a priori neutron-damaged material samples to those plasmas. The requirements of the plasma source needed to deliver the required heat flux are being developed on the Proto-MPEX device which is a linear high-intensity radio-frequency (RF) plasma source that combines a high-density helicon plasma generator with electron- and ion-heating sections. The device is being used to study the physics of heating overdense plasmas i...

42 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the role of neutral transport in aspect ratio dependent etching (ARDE) during Ar/Cl2 plasma etching of Si, and showed that neutral transport plays an important role in this process.
Abstract: Fabrication of semiconductor devices having three-dimensional (3D) structures places unprecedented demands on plasma etching processes. Among these demands is the frequent need to simultaneously etch features with a wide variety of aspect ratios (AR) on the same wafer. Many plasma etching processes exhibit aspect ratio dependent etching (ARDE)—different etch rates for features that have different aspect ratios, usually slower for larger AR. Processes subject to ARDE require over-etch to clear the larger AR features, which increases the need for high selectivity and low damage. Despite these issues, the physical processes which contribute to ARDE are not well understood. In this paper, results are discussed from a computational investigation on the root causes of ARDE during Ar/Cl2 plasma etching of Si, and, in particular, the role which neutral transport plays in this process. Parametric studies were performed varying neutral-to-ion flux ratios, surface recombination rates of atomic Cl, and neutral and io...

Journal ArticleDOI
TL;DR: In this paper, the consequences of non-ideal conditions on atomic layer etching (ALE) of silicon are investigated. But the authors focus on how close to ideal the system can be operated and the tolerance to nonideal condition.
Abstract: Current (and future) microelectronics fabrication requirements place unprecedented demands on the fidelity of plasma etching. As device features shrink to atomic dimensions, the plasma etching processes used to define these devices must resolve these scales. By separating etching processes into cycles of multiple, self-limited steps, different physics processes which are closely coupled in traditional plasma etching can be largely decoupled and separately optimized. This technique, atomic layer etching (ALE), can ideally remove uniform layers of material with consistent thickness in each cycle. ALE holds the promise of improving uniformity, reducing damage, increasing selectivity, and minimizing aspect ratio dependent etching (ARDE) rates. The practical implementation of ALE depends on how close to ideal the system can be operated and the tolerance to nonideal conditions. In this paper, results are discussed from a computational investigation of the consequences of nonidealities in the ALE of silicon usin...

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the inductively coupled plasma reactive-ion etching (ICP-RIE) of β-Ga2O3 using different fluorine and chlorine-based plasmas.
Abstract: The authors investigated the inductively coupled plasma reactive-ion etching (ICP-RIE) of β-Ga2O3 using different fluorine and chlorine-based plasmas. Sn-doped (-201) oriented β-Ga2O3 substrates were etched using SF6/Ar, CHF3/Ar, O2/Ar, BCl3/Ar, and Cl2/Ar based ICP-RIE. Appreciable etch rates were obtained only with chlorine and boron-trichloride based plasmas, and the authors performed a comprehensive study on the composition and temperature-dependence of ICP-RIE of β-Ga2O3 in BCl3/Cl2/Ar plasmas in a temperature range of 22 to 205 °C. In general, the etch rate decreased with increasing Cl2 content in BCl3/Cl2/Ar plasmas. A high etch rate of 144 nm/min with a smooth surface morphology was obtained in BCl3/Ar plasmas, compared to 19 nm/min in Cl2/Ar plasmas. The etching behavior of Ga2O3 shows more similarity to that of Al2O3 than to that of GaN.

Journal ArticleDOI
TL;DR: In this article, the growth mechanism of the prototypical atomic layer deposition (ALD) process of Al2O3 using TMA and H2O has been revisited on the basis of insights obtained with the nonlinear optical analysis technique of broadband sum-frequency generation (BB-SFG).
Abstract: The growth mechanism of the prototypical atomic layer deposition (ALD) process of Al2O3 using Al(CH3)3 (TMA) and H2O has been revisited on the basis of insights obtained with the nonlinear optical analysis technique of broadband sum-frequency generation (BB-SFG). With BB-SFG spectroscopy, both the –CH3 and –OH surface groups ruling the growth of Al2O3 by ALD were detected and could be monitored during the ALD process with submonolayer sensitivity. Several remaining questions pertaining to the growth mechanism of Al2O3 were addressed. The reaction kinetics of the H2O half-cycle were studied for ALD between 100 and 300 °C, and the reaction cross section σ was determined. The cross section at 300 °C was fairly large (σ = 3 × 10−19 cm2) and it decreased with decreasing temperature. Below 200 °C, the cross section also clearly varied with the surface coverage. For example, at 100 °C, the cross section started at σ = 1 × 10−20 cm2 for a full –CH3 coverage and decreased to σ = 3 × 10−21 cm2 for a 60% coverage. This coverage dependence of the reaction kinetics also explains the presence of the persistent –CH3 groups at low temperatures which are no longer reactive toward H2O. By a dedicated study using x-ray photo-emission spectroscopy, it was demonstrated that the persistent –CH3 groups were not incorporated into the film as a contaminant species. The absolute –CH3 coverage was measured for ALD between 100 and 450 °C. With this data, steric hindrance was ruled out as the cause of the self-limiting behavior in the TMA half-cycle on basis of the decrease observed in the –CH3 coverage with temperature. The self-limiting behavior was attributed to the depletion of under coordinated O during the TMA half-cycle. Moreover, the chemisorption of TMA on the -OH surface groups during the TMA half-cycle was investigated. On average, 1.5 –CH3 ligands remained on the surface per deposited Al atom after the TMA half-cycle at 300 °C, and this number decreased to 0.8 at 100 °C. These insights into the underlying growth mechanism augment the understanding of Al2O3 ALD and reveal several nuances in this well-studied ALD process.The growth mechanism of the prototypical atomic layer deposition (ALD) process of Al2O3 using Al(CH3)3 (TMA) and H2O has been revisited on the basis of insights obtained with the nonlinear optical analysis technique of broadband sum-frequency generation (BB-SFG). With BB-SFG spectroscopy, both the –CH3 and –OH surface groups ruling the growth of Al2O3 by ALD were detected and could be monitored during the ALD process with submonolayer sensitivity. Several remaining questions pertaining to the growth mechanism of Al2O3 were addressed. The reaction kinetics of the H2O half-cycle were studied for ALD between 100 and 300 °C, and the reaction cross section σ was determined. The cross section at 300 °C was fairly large (σ = 3 × 10−19 cm2) and it decreased with decreasing temperature. Below 200 °C, the cross section also clearly varied with the surface coverage. For example, at 100 °C, the ...

Journal ArticleDOI
TL;DR: In this article, the authors show that the use of highly magnetically unbalanced magnetron sputtering leads to selective ionization of sputter-ejected Ti atoms which are steered via an external magnetic field to the film, thus establishing control of the B/Ti ratio with the ability to obtain stoichiometric TiB2 films over a wide range in Ar sputtering pressures.
Abstract: Magnetron sputter-deposited TiBx films grown from TiB2 targets are typically highly overstoichiometric with x ranging from 3.5 to 2.4 due to differences in Ti and B preferential ejection angles and gas-phase scattering during transport between the target and the substrate. The authors show that the use of highly magnetically unbalanced magnetron sputtering leads to selective ionization of sputter-ejected Ti atoms which are steered via an external magnetic field to the film, thus establishing control of the B/Ti ratio with the ability to obtain stoichiometric TiB2 films over a wide range in Ar sputtering pressures.

Journal ArticleDOI
TL;DR: The etch rates of surface oxidation states of transition (Fe and Co) and noble (Pd and Pt) metals were tailored by controlled exposure to O2 plasmas, thereby enabling their removal by specific organic chemistries.
Abstract: Surface oxidation states of transition (Fe and Co) and noble (Pd and Pt) metals were tailored by controlled exposure to O2 plasmas, thereby enabling their removal by specific organic chemistries Of all organic chemistries studied, formic acid was found to be the most effective in selectively removing the metal oxide layer in both the solution and vapor phase The etch rates of Fe, Co, Pd, and Pt films, through an alternating plasma oxidation and formic acid vapor reaction process, were determined to be 42, 28, 12, and 05 nm/cycle, respectively Oxidation by atomic oxygen was an isotropic process, leading to an isotropic etch profile by organic vapor Oxidation by low energy and directional oxygen ions was an anisotropic process and thus results in an anisotropic etch profile by organic vapor This is successfully demonstrated in the patterning of Co with a high selectivity over the TiN hardmask, while preserving the desired static magnetic characteristic of Co

Journal ArticleDOI
Abstract: Chemical etching of silicon by fluorine atoms in the absence of ion bombardment is reviewed. Controversies on the identity of etching products and reaction probabilities are discussed. Attempts are made to explain the apparent presence of SiF2 as a primary product in many studies, dating back to 1980, but not in others, including those of Harold Winters from as early as 1979. Reported estimates of reaction probabilities (here defined as the probability of removing a Si atom from the substrate per incident F atom) vary by a factor of 2000. When these values, with some corrections and reasonable adjustments, are plotted as a function of F atom flux, most of them fall on a “universal curve” that reveals a large (∼30-fold) decrease in the reaction probability with increasing F flux, from 0.03 at a F flux 1012 cm−2 s−1 to 0.001 at a flux of 1020 cm−2 s−1. These values were extracted from beam experiments with F atoms generated from cracking of F2, including those by Harold Winters, from isotropic etching in pl...

Journal ArticleDOI
TL;DR: In this paper, experimental methods supported by ab initio density functional theory (DFT) calculations and electronic structure analyses are used to investigate the mechanical behavior of magnetron sputtered Ti-Al-Ta-N hard coatings.
Abstract: Design of hard ceramic material coatings with enhanced toughness, which prevents crack formation/propagation leading to brittle failure during application, is a primary industrial requirement. In this work, experimental methods supported by ab initio density functional theory (DFT) calculations and electronic structure analyses are used to investigate the mechanical behavior of magnetron sputtered Ti-Al-Ta-N hard coatings. The as-deposited Ti1-x-yAlxTayN (y = 0–0.60) films exhibit a single phase cubic sodium chloride (B1) structure identified as TiAl(Ta)N solid solutions. While the hardness H of Ti0.46Al0.54N (32.5 ± 2 GPa) is not significantly affected by alloying with TaN (H of the quaternary nitrides varies between 26 ± 2 and 35 ± 4 GPa), the elastic stiffness monotonically decreases from 442 to 354 GPa with increasing Ta contents, which indicates improved toughness in TiAlTaN. Consistent with the experimental findings, the DFT results show that Ta substitutions in TiAlN reduce the shear resistance due...


Journal ArticleDOI
TL;DR: In this article, the authors used various coreactants such as water, oxygen, remote oxygen plasma, hydrogen peroxide, and ozone, and determined the optimal growth rates of films.
Abstract: The authors deposited thin films of tin oxide on substrates of silicon and stainless steel by using atomic layer deposition (ALD) with tetraethyltin precursors. In this process, the authors used various coreactants such as water, oxygen, remote oxygen plasma, hydrogen peroxide, and ozone. The growth rates of films were studied as functions of the deposition temperature, the pulse times of the precursor and coreactant, and the number of ALD cycles, and the optimal growth conditions were determined. The film growth rates were found to be 0.025, 0.045, and 0.07 nm per cycle within the optimal growth conditions and ALD temperature windows for H2O2, O3, and O2 plasma, respectively. Using H2O or O2 did not prompt film growth. The films deposited using O3 and H2O2 had good continuity and low roughness, while the morphology of a coating prepared using oxygen plasma depended greatly on the deposition temperature. The films produced at temperatures below 300 °C were amorphous, irrespective of the coreactant used. X...

Journal ArticleDOI
TL;DR: In this article, a cyclopentadienyl-alkylamido (HfCp(NMe2)3, HyALD™) and an O2 plasma over a temperature range of 150-400°C at a growth per cycle around 1.1 A/cycle.
Abstract: HfO2 thin films were prepared by plasma-enhanced atomic layer deposition using a cyclopentadienyl-alkylamido precursor [HfCp(NMe2)3, HyALD™] and an O2 plasma over a temperature range of 150–400 °C at a growth per cycle around 1.1 A/cycle. The high purity of the films was demonstrated by x-ray photoelectron spectroscopy and elastic recoil detection analyses which revealed that by increasing the deposition temperature from 200 to 400 °C, the atomic concentrations of residual carbon and hydrogen reduced from 1.0 to <0.5 at. % and 3.4 to 0.8 at. %, respectively. Moreover, Rutherford backscattering spectroscopy studies showed an improvement in stoichiometry of HfO2 thin films with the increase in deposition temperature, resulting in Hf/O ratio close to ∼0.5 at 400 °C. Furthermore, grazing incidence x-ray diffraction measurements detected a transition from amorphous at the deposition temperature of 300 °C to fully polycrystalline films at 400 °C, consisting of a mixture of monoclinic, tetragonal, and cubic phases. Finally, the surface morphology and conformality of HfO2 thin films studied by atomic force microscopy and transmission electron microscopy are also reported.

Journal ArticleDOI
TL;DR: In this article, the active screen plasma technology was used to functionalize carbon fibers and vitreous carbon disks, and the functionalized surfaces were analyzed using scanning electron microscopy and atomic force microscopy, x-ray photoelectron spectroscopy, and contact angle measurements.
Abstract: The active screen plasma technology was used to functionalize carbon fibers and vitreous carbon disks. The plasma treatment conditions were mapped using optical emission spectroscopy and the functionalized surfaces were analyzed using scanning electron microscopy and atomic force microscopy, x-ray photoelectron spectroscopy, and contact angle measurements. A relationship was found between the active species in the plasma and the functional groups attached to the carbon surfaces, which provides valuable information for the optimization of the active screen plasma treatment. Moreover, the surface analyses were repeated over a period of 28 days to study the aging of the functionalized surfaces in air. The hydrophobic recovery was modeled using a surface restructuring theory which revealed a mean lifetime of 3.4 days for the functional groups.

Journal ArticleDOI
TL;DR: In this article, an atomic layer etching (ALE) procedure based on alternating exposures of trimethylaluminum and anhydrous hydrogen fluoride (HF) is implemented to controllably etch aluminum oxide.
Abstract: The authors report on the development and application of an atomic layer etching (ALE) procedure based on alternating exposures of trimethylaluminum and anhydrous hydrogen fluoride (HF) implemented to controllably etch aluminum oxide. The ALE process utilizes the same chemistry previously demonstrated in the atomic layer deposition of aluminum fluoride thin films, and can therefore be exploited to remove the surface oxide from metallic aluminum and replace it with thin fluoride layers in order to improve the performance of ultraviolet aluminum mirrors. This ALE process is modified relative to existing methods through the use of a chamber conditioning film of lithium fluoride, which is shown to enhance the loss of fluorine surface species and results in conformal layer-by-layer etching of aluminum oxide films. Etch properties were explored over a temperature range of 225–300 °C with the Al2O3 etch rate increasing from 0.8 to 1.2 A per ALE cycle at a fixed HF exposure of 60 ms per cycle. The effective etch ...

Journal ArticleDOI
TL;DR: In this article, the Monte Carlo feature profile model was used to investigate aspect ratio, and feature orientation dependent etching in microelectronics fabrication using plasma-assisted etching processes.
Abstract: Pattern transfer in microelectronics fabrication using plasma-assisted etching processes is being challenged by the three-dimensional (3d) structures of devices such as fin field effect transistors. Etching of 3d structures typically requires a longer over-etch time to clear material in corners, introducing additional selectivity challenges to maintain feature scale critical dimensions. Feature open area, orientation, aspect ratio, and proximity to other nearby structures can influence the outcome of the etch process. In this paper, the authors report on the development and application of a 3d profile simulator, the Monte Carlo feature profile model in the investigation of aspect ratio, and feature orientation dependent etching. In these studies, energy and angularly resolved reactant fluxes were provided by the hybrid plasma equipment model. Results from the model were validated with trends from experimental data. Using reactant fluxes from He/Cl2 and Ar/Cl2 inductively coupled plasmas, etching of two di...

Journal ArticleDOI
TL;DR: In this paper, the authors presented a method to determine film thicknesses and sticking coefficients of precursor molecules for atomic layer deposition (ALD) in high aspect ratio three dimensional (3D) geometries as they appear in microelectromechanical system manufacturing.
Abstract: The authors present a new method to determine film thicknesses and sticking coefficients (SC) of precursor molecules for atomic layer deposition (ALD) in high aspect ratio three dimensional (3D) geometries as they appear in microelectromechanical system manufacturing. The method combines a specifically designed experimental test structure with the theoretical predictions from a novel 3D Monte Carlo process simulation for large structures. The authors exemplify our method using Al2O3 and SiO2 ALD processes. SCs for trimethylaluminium and bis-diethyl aminosilane (BDEAS) are extracted. The SC for BDEAS is determined for the first time.

Journal ArticleDOI
TL;DR: In this paper, the effect of reaction probability, pore aspect ratio, and precursor diffusion coefficient on the saturation dose of high-throughput ALD inside porous substrates is investigated, and an expression for pressure dependent saturation dose in the diffusion-limited regime is derived.
Abstract: Atomic layer deposition (ALD) is renowned for its step coverage in porous substrates. Several emerging applications require a combination of this high step coverage with high throughput ALD, like spatial ALD. Often, high throughput ALD is performed at atmospheric pressure, and therefore, the effect of reactor pressure on the saturation dose is investigated. ALD inside porous substrates is governed by three key parameters: the reaction probability, the pore aspect ratio, and the precursor diffusion coefficient, of which the latter one contains the reactor pressure dependency. The effect of these parameters on the saturation dose is validated using Monte Carlo modeling, where the reactor pressure dependency is included through the mean free path. A reaction-limited and a diffusion-limited regime can be identified, and it is shown that for many realistic experimental conditions, even at low reactor pressures, the saturation dose is in the diffusion-limited regime. An expression for the pressure dependent saturation dose in the diffusion-limited regime is derived. For small pore diameters, the saturation dose is pressure independent, but for larger pores, higher saturation doses are required for atmospheric reactor pressures than for low reactor pressures. However, as high reactor pressures enable much higher precursor partial pressures than low reactor pressures, the resulting saturation times can be much shorter at atmospheric pressure than low pressure. Often, high surface area porous substrates will lead to supply limited conditions, and increased saturation times have to be taken into account. These results show that the atmospheric pressure ALD can be used for high throughput ALD inside porous substrates, as long as high precursor partial pressures and molar flows can be applied. This is experimentally demonstrated by a near 100% step coverage obtained by atmospheric spatial ALD of alumina in high aspect ratio pores.

Journal ArticleDOI
TL;DR: In this article, the authors report on the design, deposition, and testing of multilayer broadband antireflection coatings, which reduce the weighted average reflection over the wavelength range used by thin film CdTe devices to just ∼1.22%.
Abstract: Light reflection from the glass surface of a photovoltaic (PV) module is a significant source of energy loss for all types of PV devices. The reflection at the glass and air interface accounts for ∼4% of the total energy. Single layer antireflection coatings with sufficiently low refractive index have been used, such as those using magnesium fluoride or porous silica, but these are only effective over a narrow range of wavelengths. In this paper, the authors report on the design, deposition, and testing of multilayer broadband antireflection coatings. These coatings reduce the weighted average reflection over the wavelength range used by thin film CdTe devices to just ∼1.22%, resulting in a 3.6% relative increase in device efficiency. The authors have used multilayer stacks consisting of silica and zirconia layers deposited using reactive magnetron sputtering. Details of the stack design, sputter deposition process parameters, and the optical and microstructural properties of the layers are provided. Anti...

Journal ArticleDOI
TL;DR: In this paper, a two-step process was proposed to remove carbon atoms in fluorocarbon films without any residue or surface contamination, which allowed the atomic scale etching of SiO2 films without uniformly depositing a fluoroccarbon film over the wafer.
Abstract: This work demonstrated a process for the atomic-scale etching of SiO2 films, consisting of alternating nanometer-thick fluorocarbon film deposition with O2 plasma irradiation in a capacitively coupled plasma reactor. Ar plasma etching after fluorocarbon film deposition tends to suffer from nanometer- or subnanometer-thick carbon films deposited on the SiO2 surface and chamber walls. These carbon films cause various problems, such as reductions in the etching rate per cycle and degradation of the SiO2 quality. In contrast, in our two-step process, O2 plasma removes carbon atoms in such fluorocarbon films. This process therefore allows the atomic scale etching of SiO2 films without any residue or surface contamination. Additionally, since the etching rate per cycle plateaus as both the etching time and deposition time are extended, it is unnecessary to uniformly deposit a fluorocarbon film over the wafer.

Journal ArticleDOI
TL;DR: In this article, the authors reported that the mass densities of the TiO2 films are found to increase smoothly between 38 and 125°C (3.25-3.68 cm−3), as measured by both x-ray reflectometry (XRR) and optical ellipsometry.
Abstract: Refractive index, density, and optical polarizabilities as a function of atomic layer deposition (ALD) growth temperature for titanium dioxide (TiO2) thin films are reported for the first time between 38 and 150 °C using the titanium tetrachloride-water reaction. Consistent with prior reports, Raman spectroscopy and x-ray diffraction indicate that the films are amorphous below 150 °C and form the crystalline anatase phase at deposition temperatures at or above 150 °C. Despite this change in atomic structure, mass densities of the TiO2 films are found to increase smoothly between 38 and 125 °C (3.25–3.68 g cm−3), as measured by both x-ray reflectometry (XRR) and optical ellipsometry. Molecular polarizabilities were calculated from the XRR mass densities, and ellipsometric refractive indices and were found to vary from 5.26–5.83 × 10−24 cm−3, which is consistent with prior reports of chemical vapor deposition of amorphous TiO2 thin films. Here, the authors demonstrate that the greater precision of ALD provi...

Journal ArticleDOI
TL;DR: In this article, the authors showed that a similar enhancement in reactivity of silicon nitride can also be attained via diffusion of hydrogen atoms into silicon oxide with the resultant etch being isotropic.
Abstract: Atomic layer etching has emerged as a viable approach to address the challenges associated with continuous or quasicontinuous plasma processes. To this end, the authors previously reported the quasiatomic layer etching of silicon nitride via sequential exposure to hydrogen and fluorinated plasma. The underlying premise was the surface modification via implantation of hydrogen ions into silicon nitride resulting in an anisotropic etch. In this paper, the authors will demonstrate that a similar enhancement in reactivity of silicon nitride can also be attained via diffusion of hydrogen atoms into silicon nitride with the resultant etch being isotropic. These results confirm the realization of self-limiting etch of silicon nitride with tunable directionality. Selectivity to oxide is >100 and damage to underlying silicon can be minimized by optimizing the flux of atomic fluorine during the exposure to fluorinated plasma. Thus, hydrogen plasma step controls the directionality while fluorinated plasma step deter...