scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 1987"


Journal ArticleDOI
TL;DR: The focused ion beam field has been spurred by the invention of the liquid metal ion source and by the utilization of focusing columns with mass separation capability, which has led to the use of alloy ion sources making available a large menu of ion species, in particular the dopants of Si and GaAs as discussed by the authors.
Abstract: Ions of kiloelectron volt energies incident on a solid surface produce a number of effects: several atoms are sputtered off, several electrons are emitted, chemical reactions may be induced, atoms are displaced from their equilibrium positions, and ions implant themselves in the solid, altering its properties. Some of these effects, such as sputtering and implantation are widely used in semiconductor device fabrication and in other fields. Thus the capability to focus a beam of ions to submicrometer dimensions, i.e., dimensions compatible with the most demanding fabrication procedures, is an important development. The focused ion beam field has been spurred by the invention of the liquid metal ion source and by the utilization of focusing columns with mass separation capability. This has led to the use of alloy ion sources making available a large menu of ion species, in particular the dopants of Si and GaAs. The ability to sputter and to also induce deposition by causing breakdown of an adsorbed film has produced an immediate application of focused ion beams to photomask repair. The total number of focused ion beamfabrication systems in use worldwide is about 35, about 25 of them in Japan. In addition, there are many more simpler focused ion beam columns for specialized uses. The interest is growing rapidly. The following range of specifications of these systems has been reported: accelerating potential 3 to 200 kV, ion current density in focal spot up to 10 A/cm2, beam diameters from 0.05 to 1 μm, deflection accuracy of the beam over the surface ±0.1 μm, and ion species available Ga, Au, Si, Be, B, As, P, etc. Some of the applications which have been demonstrated or suggested include: mask repair, lithography (to replace electron beamlithography), direct, patterned, implantationdoping of semiconductors, ion induced deposition for circuit repair or rewiring, scanning ion microscopy, and scanning ion mass spectroscopy.

559 citations


Journal ArticleDOI
TL;DR: In this paper, a spectroscopic study using the techniques of ellipsometry and infrared (IR) absorption spectroscopy of the chemical bonding in silicon dioxide (SiO2) films grown in dry oxygen ambients at temperatures between 550 and 1000°C was presented.
Abstract: This paper presents a spectroscopic study using the techniques of ellipsometry and infrared (IR) absorption spectroscopy of the chemical bonding in silicon dioxide (SiO2) films grown in dry oxygen ambients at temperatures between 550 and 1000 °C. We find that the index of refraction at 632.8 nm increases and the frequency of the dominant IR active bond‐stretching vibration at about 1075 cm−1 decreases as the growth temperature is decreased below 1000 °C. Comparing the properties of these films with suboxides (SiOx, x<2) grown by plasma‐enhanced chemical vapor deposition, and compacted bulk silica has lead us to conclude: (i) that films grown at temperatures at or below 1000 °C are homogeneous stoichiometric oxides (SiO2); and (ii) that the systematic and correlated variations in the index of refraction and the IR frequency result from increases in the film density with decreasing growth temperature. We present a microscopic model that accounts for (i) the increases in the density and the index of refracti...

366 citations


Journal ArticleDOI
TL;DR: In this article, a scanning tunneling microscope was used to study the spectroscopy of p-, n-, and oxygen-covered GaAs(110) surfaces, and three components of the tunneling current were identified.
Abstract: The scanning tunneling microscope is used to study the spectroscopy of p‐type, n‐type, and oxygen‐covered GaAs(110) surfaces. On the clean surface, three components of the current are identified—tunneling out of valence‐band states, tunneling into conduction‐band states, and tunneling through dopant‐induced states in the semiconductor. The results are compared with a theoretical computation of the tunneling current, including band bending in the semiconductor. Good agreement between theory and experiment is obtained only when tunneling through the space‐charge region of the semiconductor is included. On the oxygen‐covered surface, the spectroscopic results show evidence of band bending due to the oxygen adsorbates.

337 citations


Journal ArticleDOI
TL;DR: In this article, the kinetics of stress-induced notching and voiding in very large-scale integrated circuit Al-Si metallization have been investigated and a generalized time-to-failure model has been developed and an activation energy of 0.4 eV was observed for the mechanism.
Abstract: The kinetics of stress‐induced notching and voiding in very large‐scale‐integrated circuit Al–Si metallization have been investigated. A generalized time‐to‐failure model has been developed and an activation energy of 0.4 eV has been observed for the mechanism. The stress state of the passivation overcoat has been found to have a major impact on the notching and voiding tendency of the fine‐grain metal.

167 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate a technique, using a very high contrast resist, whereby the normalized point exposure distribution can be measured experimentally, both on solid substrates which cause backscattering, and on thin substrates where backscatter is negligible.
Abstract: The exposure distribution function in electron beam lithography, which is needed to perform proximity correction, is usually simulated by Monte Carlo techniques, assuming a Gaussian distribution of the primary beam. The resulting backscattered part of the exposure distribution is usually also fitted to a Gaussian term. In this paper we demonstrate a technique, using a very high contrast resist, whereby the normalized point exposure distribution can be measured experimentally, both on solid substrates which cause backscattering, and on thin substrates where backscattering is negligible. The data sets so obtained can be applied directly to proximity correction and represent the practical conditions met in pattern writing. Results are presented of the distributions obtained on silicon, gallium arsenide, and thin silicon nitride substrates at different beam energies. Significant deviations from the commonly assumed double Gaussian distributions are apparent. On GaAs substrates the backscatter distribution cannot adequately be described by a Gaussian function. Even on silicon a significant amount of exposure is found in the transition region between the two Gaussian terms. This deviation, which can be due to non‐Gaussian tails in the primary beam and to forward scattering in the resist, must be taken into account for accurate proximity correction in most submicron lithography, and certainly on the sub‐100 nm scale.

162 citations


Journal ArticleDOI
TL;DR: The mechanism of the laser-induced forward transfer (LIFT) technique for transferring metal features from a film to a substrate is examined by using the one-dimensional thermal diffusion equation with a moving solid-melt boundary to model the heating, melting, and vaporization of the metal film by the laser as mentioned in this paper.
Abstract: The mechanism of the laser‐induced forward transfer (LIFT) technique for transferring metal features from a film to a substrate is examined by using the one‐dimensional thermal diffusion equation with a moving solid‐melt boundary to model the heating, melting, and vaporization of the metal film by the laser. For typical LIFT conditions the calculations show that the back of the film (i.e., the part exposed to the laser) will reach the boiling point before the film melts through, which supports the qualitative picture that the LIFT process involves vapor‐driven propulsion of metal from the film onto the target.

143 citations


Journal ArticleDOI
TL;DR: In this article, the authors have developed atomic models for various structures on the GaAs(100) (and related) surfaces used in MBE growth, and combine those models to suggest an actual mechanism whereby molecular-beam epitaxy...
Abstract: A significant liability in the use of molecular‐beam epitaxy (MBE) to grow device grade compound semiconductor materials is the cost entailed by the necessity of using ultrahigh vacuum and high‐growth temperatures. The commercial feasibility of this process could be considerably enhanced if growth temperatures could be cut by one‐half or more. In addition, lower growth temperatures could make feasible the growth of semiconductor layers by MBE on top of previously processed structures without degrading or destroying those structures from the high temperatures currently needed for semiconductor growth. An understanding of the detailed atomic nature of this growth process would be valuable in tailoring existing, or developing new techniques towards this end. In the previous papers in this series, we have developed atomic models for various structures on the GaAs(100) (and related) surfaces used in MBE growth. In this paper, we combine those models to suggest an actual mechanism whereby molecular‐beam epitaxy...

133 citations


Journal ArticleDOI
TL;DR: In this article, the columnar structure of quantum well structures are reported for the first time, and the dependence of the lateral extension of these islands on growth conditions is investigated, for fixed growth rate rs≊0.5 ML/s the mean island size decreases from 6 −7 μm to 2 μm upon an increase of growth temperature from Tg=600 to 660 ǫ°C.
Abstract: Direct images of growth islands differing by 2.8 A [1 monolayer (ML)] height at GaAs/AlGaAs heterointerfaces and of the columnar structure of quantum wells are reported for the first time. The structures are grown by molecular‐beam epitaxy (MBE) with interruptions of the growth of ≊2 min at the interfaces. The method used to obtain these images is scanning cathodoluminescence. The dependence of the lateral extension of these islands on growth conditions is investigated. For fixed growth rate rs≊0.5 ML/s the mean island size decreases from 6–7 μm to 2 μm upon an increase of growth temperature from Tg=600 to 660 °C. Apparently the growth process changes from a planar to a three‐dimensional one. For low‐growth temperature and rate the lateral extension of such islands can be larger than the carrier diffusion length. Under these conditions interisland thermalization of carriers is largely suppressed. Quantitative information on the reduction of roughness of the quantum well interfaces with increasing growth i...

120 citations


Journal ArticleDOI
TL;DR: In this article, a study on grain boundary fracture failures found in Al-Si interconnects during aging tests without electric current flow is presented, showing that failures are caused by slitlike voids formed at grain boundaries during the relaxation process in AlSi conductors stressed by temperature cycling under the volume constraint of passivation films.
Abstract: This paper presents a study on grain boundary fracture failures found in Al–Si interconnects during aging tests without electric current flow. Failure rate analysis and microscopic observation by transmission electron microscopy and scanning electron microscopy indicate that failures are caused by slitlike voids formed at grain boundaries during the relaxation process in Al–Si conductors stressed by temperature cycling under the volume constraint of passivation films. Conductors are seen to fail in two modes; an open mode at bamboolike grain boundaries and a high‐resistance mode at grain boundaries having large silicon precipitates.

107 citations


Journal ArticleDOI
TL;DR: In this article, a detailed study of the reactions of Si(100) 2×1 with NO and NH3 was performed and it was shown that the surface is quite reactive and can dissociate NO or NH3 even at 90 K.
Abstract: We present the results of a detailed study of the reactions of Si(100) 2×1 with NO and NH3. We use several electron spectroscopies and ion scattering to study the reactivity of the surface and to determine the nature of the rate limiting steps of the above reactions over a wide temperature range (90–1200 K). We find that the Si(100) 2×1 surface is quite reactive and can dissociate NO or NH3 even at 90 K. The reactions are, however, self‐limiting. The released O or H atoms tie up the surface dangling bonds and passivate the surface. The N atoms, on the other hand, occupy mostly subsurface sites. Sustained reactivity and thin film growth occurs only at temperatures sufficiently high enough to desorb the surface oxygen or hydrogen and regenerate the surface dangling bonds. We find, however, that electron‐stimulated desorption of the hydrogen can be used to nonthermally regenerate the surface dangling bonds and allow silicon nitride thin film growth even at 90 K. Because of the crucial role the top layer play...

103 citations


Journal ArticleDOI
TL;DR: In this paper, the deposition of SiO2 by pyrolysis of tetraethylorthosilicate (TEOS) at pressures below 1 Torr was investigated at temperatures between 650 and 800 °C.
Abstract: The deposition of SiO2 by pyrolysis of tetraethylorthosilicate (TEOS) at pressures below 1 Torr was investigated at temperatures between 650 and 800 °C. We found oxide thickness variations of <±5% for suitable process conditions (PD ≤500 mTorr, wafer spacing ≥4.7 mm, TD <730 °C, deposition rate 16 nm min−1). Tests with 150‐mm wafers showed that uniformities of ±2% can be achieved if the wafer spacing is increased to 10 mm. Raising the deposition pressure improves the step coverage in deep trenches but degrades the thickness uniformity across the wafer. The investigations of etch rates in different media show strong dependences on the anneal temperature for etchants containing HF but only a slight dependence for plasma etching. The dielectric breakdown strength of the oxides was 8 MV cm−1 and the failure rate after 500‐ms current stress at 1 mA cm−2 lower than 20%. We found values for the interface state density of 1×1010 eV−1 cm−2 and for the oxide charge density in the range 3×1010 cm−2 to 2.5×1011 cm−2,...

Journal ArticleDOI
TL;DR: In this paper, a detailed model of the disordered layer which consists of a disordered crystal region and a graded amorphous region is presented, which can reproduce the observed hysteresis behavior remarkably well, and can explain the observed anomalous thermal and optical transient behavior.
Abstract: In order to clarify the structure and properties of the disordered semiconductor layer which forms the basis of the recently proposed disorder‐induced gap state (DIGS) model, the electronic and microstructural properties of the compound semiconductor insulator–semiconductor interfaces (InP, GaAs, AlGaAs, InGaAs) are studied using C–V, isothermal capacitance transient spectroscopy, photocapacitance transient spectroscopy, cross‐sectional transmission electron microscopy, Rutherford backscattering spectrometry, and x‐ray and ultraviolet photoemission spectroscopy techniques. Based on the experimental results, a detailed model of the disordered layer which consists of a disordered crystal region and a graded amorphous region is presented. In these two regions, DIGS are distributed both in energy and space. The model can reproduce the observed hysteresis behavior remarkably well, and can explain the observed anomalous thermal and optical transient behavior. The measured location of the charge neutrality point...

Journal ArticleDOI
TL;DR: In this article, a correlation between the Fermi level pinning deduced from Schottky barrier heights and from electrical properties of irradiated III-V semiconductors is found.
Abstract: A correlation between the Fermi level pinning deduced from Schottky barrier heights and from electrical properties of irradiated III–V semiconductors is found. The correlation indicates that similar defects are responsible for the Fermi level stabilization in both cases. It is proposed that amphoteric native defects, i.e., the defects which change their electrical characteristics depending on the Fermi level position, play a dominant role in the processes leading to a Schottky barrier formation. A detailed analysis of metal–GaAs contacts shows that in this case the amphoteric defects responsible for the barrier heights are V Ga (acceptor) and a donor complex AsGa+V As. It is shown that for thick metal coverages two barriers are formed. A surface barrier determined by the charge associated with a native defect and the bulk barrier controlled by the bulk doping. The sum of the two barrier heights satisfies the Schottky condition for the interface, but it is the bulk barrier that determines the macroscopic electrical properties of the contact. The model explains the evolution of the Fermi level position at the interface observed for metal coverages varying in a broad range of thicknesses. The relationship of the present proposal to previous models of Schottky barriers is discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the effects of varying Si oxidation conditions on intrinsic film stress for SiO2 films formed on Si and found the following orientation dependence for intrinsic stress measured as a function of oxidation temperature.
Abstract: We have investigated the effects of varying Si oxidation conditions on intrinsic film stress for SiO2 films formed on Si. This study includes stress measurements on four Si orientations: (100), (110), (111), and (311); at oxidation temperatures ranging from 700 to 1100 °C; wet (H2O) versus dry (O2) oxidations for (100) and (111) surfaces; and the effects of postoxidation annealing on stress. We find the following orientation dependence for intrinsic stress measured as a function of oxidation temperature: (110)≥(311)≥(100)>(111); a reduction in stress for wet versus dry studies; and an even larger reduction for postoxidation anneals. A recently proposed step model accounts for the differences in stress between the (111) and the remaining Si orientations. A number of Si oxidation models based on intrinsic stress are compared in their ability to describe observed kinetic behavior, and we conclude that within the Deal–Grove oxidation model, the linear rate constant is strongly influenced by stress in the init...

Journal ArticleDOI
TL;DR: In this paper, the vibrational spectra of chemisorbed atomic H on the GaAs(100)c(2×8), (4×6), and c(8×2) surface structures were studied.
Abstract: High‐resolution electron‐energy‐loss spectroscopy (HREELS) has been used to study the vibrational spectra of chemisorbed atomic H on the GaAs(100)c(2×8), (4×6), (4×1), and c(8×2) surface structures. Our results show that both As–H and Ga–H species are present on the GaAs(100)c(2×8) surfaces even at very low exposures. This result is notable because it indicates that the c(2×8) structure is terminated with less than a full monolayer of As atoms. Furthermore, HREELS results indicate that a wide range of surface stoichiometries exists for this surface with the Ga–H mode actually slightly stronger than the As–H mode at the higher annealing temperatures. On the c(8×2) structure the HREELS data show almost exclusively Ga–H with little or no As–H. Strong similarities are observed between the (4×1) and the c(8×2) surfaces, particularly after sufficient annealing, which minimizes the presence of the more As‐rich (1×6) domains that often accompanies the (4×1) structure. Several model structures incorporating dimer vacancies are discussed in relation to the HREELS data.

Journal ArticleDOI
TL;DR: In this paper, photoexcited chemical vapor deposition (CVD) and etching using synchrotron radiation as an exciting light source were experimentally demonstrated, and reaction models for gas phase excitation and surface excitation were proposed.
Abstract: Photoexcited chemical‐vapor deposition (CVD) and etching using synchrotron radiation as an exciting light source were experimentally demonstrated. CVD of silicon nitride film and etching of Si and SiO2 by SF6+O2 gases are described in detail. In several reaction systems, it was found that the surface photoexcitation was an important mechanism. Reaction models for gas‐phase excitation and surface excitation were proposed.

Journal ArticleDOI
TL;DR: In this article, the formation of Schottky barriers has been investigated on room temperature (RT) and low temperature (LT= 80 K) GaAs. And the authors found that low temperature has opposite effects on the pinning rate of E F on n- and p-GaAs.
Abstract: Recent experiments on the formation of Schottky barriers reveal a temperature-dependent pinning of the Fermi level (E F ) which cannot be explained in terms of the current published models. Al, Au, Ag, In, and Sn are evaporated on room temperature (RT) and low temperature (LT= 80 K) cleaved n- and p-GaAs(110). We find a substantial decrease in surface metal clustering at LT, leading to more homogeneous films, especially with Al, In, and Sn. Interface mixing and chemical reaction are also partly inhibited. Cancellation of the semiconductor surface relaxation is observed for Al and Sn. From the electronic point of view, low temperature has opposite effects on the pinning rate of E F on n- andp-GaAs. Pinning on n-GaAs as a function of coverage is dramatically retarded. Pinning on p-GaAs remains faster than on n-GaAs, as fast as at RT, faster for Al and In. These asymmetric rates make it impossible to explain the initial stages of Schottky barrier formation for all substrates with a single mechanism. They suggest that several independent but concomitant mechanisms might be at work in the pinning process.

Journal ArticleDOI
TL;DR: In this paper, the passivating properties of the interfacial In(PO3)3 oxide are discussed on the basis of chemical bonding configurations in the native oxide, and it is suggested that InPO3 has better intrinsic passivation properties than InPO4.
Abstract: Passivating native oxide films (≊150 A) have been prepared by anodic oxidation of InP. X‐ray photoelectron spectroscopy (XPS) chemical depth profiles reveal a double layer structure with indium‐rich oxides at the surface and phosphorous‐rich In(PO3)3 glass‐like oxides at the interface. High quality metal–insulator–semiconductor (MIS) structures are obtained after removing the semiconducting indium‐rich outer oxide layer. The passivating properties of the interfacial In(PO3)3 oxide are discussed on the basis of chemical bonding configurations in the native oxide. It is suggested that In(PO3)3 has better intrinsic passivating properties than InPO4.

Journal ArticleDOI
TL;DR: In this paper, a systematic kinetics study of the initial stage band bending of metal/GaAs has been done and it is found that this excess band bending strongly depends on the work functions of the metal deposited on GaAs.
Abstract: A systematic kinetics study of the initial stage band bending of metal/GaAs(110) has been done. At low temperature, independent of metals, enhanced band bending for p-GaAs and attenuated band bending for n-GaAs as a function of metal coverage has been observed. For p-type GaAs the band bending increases very rapidly to a value larger than that for any coverage at room temperature and then returns to the room temperature position at high coverages. It is found that this excess band bending strongly depends on the work functions of the metal deposited on GaAs. In gives 0.4 eV, Al 0.3 eV, Ag 0.2 eV, and Au only shows small excess band bending. Temperature dependence of GaAs initial stage band bending will also be discussed in this paper. The results strongly suggest that there exists another mechanism which appears to be dominant in the initial stage band bending at low temperature where the defect formation is negligible. The transition from this mechanism to the defect mechanism could be observed by increasing either system temperature or metal coverage, or more generally, disturbance to semiconductor surfaces.

Journal ArticleDOI
TL;DR: In this paper, the diffusion of dopants in any layer of the silicide-silicon or silicidepolycrystalline silicon bilayer structures is reviewed. But the available experimental results only provide a technological base for the use of dopant in the structures studied.
Abstract: Dopants in any layer of the silicide–silicon or silicide–polycrystalline silicon bilayer structures are found to distribute readily throughout the bilayer affecting the electrical and the mechanical properties of the composite. In this paper the phenomenon of dopant redistribution in such structures is reviewed. The factors that influence the redistribution processes are (a) the diffusivity of dopants in the structure; (b) the solid solubility of dopants in the silicide and in the silicon; (c) the segregation coefficient of dopant at the surface and at the interfaces, and (d) the evaporative or the reactive losses of dopant to the heat‐treating environment. Each of these factors is discussed. The available experimental results are reviewed in view of these considerations. It is shown that there are a large number of variables and that the available experimental results only provide a technological base for the use of dopants in the structures studied. To obtain a fundamental understanding of the process that determines the dopant redistribution, more carefully planned experiments will be necessary.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated surface electronic properties of semi-infinite Si and Ge(001) (2×1) surfaces using a self-consistent scattering theoretical method.
Abstract: We have investigated surface electronic properties of semi‐infinite Si(001) (2×1) and Ge(001) (2×1) using our recently developed self‐consistent scattering theoretical method. The calculations are based on local density functional formalism and make use of one‐particle Green’s functions. We present results for both symmetric and asymmetric dimer reconstructions of the surfaces to contribute to the current discussion of their structural properties. From comparing our results with photoemission and inverse photoemission data, we find that the asymmetric dimer model agrees better with the data than the symmetric dimer model. These findings are discussed, as well, in light of the recent scanning tunneling microscopy data of Hamers et al. In particular, we use our theory to add to the interpretation of scanning tunneling microscopy and spectroscopy data on Si and Ge(001) (2×1) surfaces.

Journal ArticleDOI
TL;DR: In this paper, a self-arresting deposition mechanism at 100% surface coverage is realized in GaAs by a modulatedcontinuous wave laser metalorganic vapor phase epitaxy technique.
Abstract: Atomic‐layer epitaxy (ALE) including self‐arresting deposition mechanism at 100% surface coverage is realized in GaAs by a modulated‐continuous‐wave laser metal‐organic vapor‐phase epitaxy technique. Selective decomposition of trimethylgallium (TMG) or triethylgallium (TEG) on an As‐atom layer by laser irradiation is an important mechanism to realize the ALE. The experimental results are well‐explained by a calculation based on this selective decomposition mechanism.

Journal ArticleDOI
TL;DR: In this paper, the adsorbate-induced surface states are identified as virtual gap states (ViGS) of the complex band structure of cleaved GaAs and the chemical trends in Fermi-level pinning observed with Cs, Cu, Ag, and Au adsorbed on GaAs are also described by this ViGS model.
Abstract: The adsorption of chlorine on cleaved GaAs(110) surfaces was found to increase their ionization energy by up to 1.4 eV and to pin the Fermi level at 0.1 and 0.2 eV above the valence‐band top on samples doped p and n type, respectively. These experimental findings are explained by a charge transfer between adsorbate‐induced surface states of the semiconductor and the adatoms, which are charged negatively, since chlorine is much more electronegative than GaAs. The adsorbate‐induced surface states are identified as virtual gap states (ViGS) of the complex band structure of GaAs. The chemical trends in Fermi‐level pinning observed with Cs, Cu, Ag, and Au adsorbed on GaAs are also described by this ViGS model of adsorbate‐induced surface states. At larger exposures to Cl2, the Fermi level assumes a second pinning position at 0.55 above the valence‐band top for both types of doping. This change of pinning positions is attributed to the formation of adsorbate‐induced defects.

Journal ArticleDOI
TL;DR: Tantalum nitride has been investigated for use as a diffusion barrier between aluminum alloy interconnect and shallow source-drain junctions in a 1μ•complementary metaloxide semiconductor technology as mentioned in this paper.
Abstract: Tantalum nitride has been investigated for use as a diffusion barrier between aluminum alloy interconnect and shallow source‐drain junctions in a 1‐μ‐complementary metal–oxide semiconductor technology. TaN films were reactively sputtered in a batch sputtering system equipped with dc magnetron cathodes, rf substrate bias, and independently controlled Ar and N2 sources. Film properties and their dependence on deposition parameters are discussed, and these properties are compared with those of titanium nitride films deposited using the same technique. Stoichiometric TaN films have resistivities of ∼220 μΩ cm. AlSi/TaN/Si contacts to shallow N+/P and P+/N junctions can withstand 575 °C 30 min heat treatments with no increase in junction leakage. The films can be etched in chlorine plasma chemistries typically used to etch aluminum alloys, and the aluminum etch has selectivity of ∼4:1 to TaN. This selectivity helps to protect inadvertently exposed Si junctions from damage during metal etch.

Journal ArticleDOI
TL;DR: In this article, the laser photodeposition of zinc from diethylzinc is experimentally studied and the results of these experiments verify the trends predicted by a simple theoretical treatment.
Abstract: Laser photodeposition of zinc from diethylzinc is experimentally studied. Parametric studies of laser power, intensity, gas pressure, and temperature are evaluated to characterize the deposition process. The results of these experiments verify the trends predicted by a simple theoretical treatment. The investigated deposition process shows contributions from both gas‐phase molecules and adsorbed molecular layers. The ability to control the relative contribution from these two media is demonstrated.

Journal ArticleDOI
TL;DR: In this article, the authors used a scanning tunneling microscope (STM) to generate very fine beams of very low energy electrons (below 100 eV) and employed the thinnest possible resists.
Abstract: The scanning tunneling microscope (STM) can be used to generate very fine beams of very low energy electrons (below 100 eV). Under optimum conditions the beam diameter is proportional to beam voltage and hence, when using such a beam as an exposure tool it is desirable to employ the thinnest possible resists. The metal halides in general have several properties that make them attractive candidates for use in a scanning tunneling microscope. They are easily evaporated as thin films of thickness from 10 to 100 nm. It is important that an insulating resist film be thin so that the electrons can penetrate it and the tip does not crash into it. Thicker films require a higher accelerating voltage and this degrades resolution. The sensitivity of the metal halides is on the order of 1 C/cm2, which coincides with the dose range most readily obtainable in our instrument. Finally, the exposure voltage threshold of the metal halides is thought to be in the range of a few tens of volts. This is low enough to take adva...

Journal ArticleDOI
TL;DR: In this paper, the authors investigated a topological method of eliminating antiphase domains in zinc-blende semiconductors heteroepitaxially grown on (001) Si substrates.
Abstract: We investigate a topological method of eliminating antiphase domains in zinc‐blende semiconductors heteroepitaxially grown on (001) Si substrates. Antiphase domains cannot occur if only one of the two atomic species of the polar overlayer bonds directly to the substrate at the heteroepitaxial interface (As, in the case of GaAs on Si) and if atoms from only one of the two inequivalent sublattices of the substrate form the termination boundary [only biatomic (a0/2) steps present]. We show that thermal equilibrium is a necessary and sufficient condition to realize this condition for (001) Si, a result of a π‐bonded step reconstruction that lowers the relative enthalpy of reconstructed [110] biatomic steps by 0.04 eV per step atom for one type of biatomic step, and of correlation, which freezes out the step configuration entropy thereby suppressing the formation of all other types of steps. Our model explains selection rules and dimer orientations observed in infrared absorption, low‐energy electron diffraction, and reflection high‐energy electron diffraction measurements of vicinal (001) Si surfaces tilted toward (110). For general vicinal surfaces, competition from the non‐π‐bonded sides of the atomically flat terraces weakens the thermodynamic driving force. For surfaces tilted toward (100) it vanishes completely, indicating that antiphase‐free polar material cannot be grown on these surfaces, in agreement with experiment. If a minimum threshold energy is needed per terrace to form a primitive (001) surface, then the boundaries between antiphase‐free and antiphase‐dominated regions for spherically figured surfaces should be parabolic. The minimum energy estimated from the results of Akiyama et al. on spherically figured surfaces suggests that terrace–terrace correlations are also important factors in forming the primitive surface.

Journal ArticleDOI
TL;DR: Titanium nitride films (100-300 nm) were sputter deposited from a high-purity titanium nitride target (nominal purity 99.99%) in an experimental dc-magnetron system as mentioned in this paper.
Abstract: Titanium nitride films (100–300 nm) were sputter deposited from a high‐purity titanium nitride target (nominal purity 99.99%) in an experimental dc‐magnetron system. The gold‐colored target, 5 cm in diameter, had a density of 92% of the theoretical value. X‐ray diffraction indicated that the target material matched the simulated stoichiometric TiN pattern, while the deposited films showed a shift in the crystallographic plane spacing of the (111) and (200) Bragg reflections. Rutherford backscattering spectrometry (RBS) performed on titanium nitride films which were deposited on amorphous carbon substrates indicated the presence of titanium, nitrogen, and oxygen as principal components of the films. No argon was detected in the films within the sensitivity of the RBS technique. Titanium to nitrogen atomic ratios in the films varied from 0.83 to 1.02 according to the RBS results. The binding energy measured by x‐ray photoelectron spectrometry (XPS) indicated that Ti and N were present in compound form. The ...

Journal ArticleDOI
TL;DR: In this paper, two types of GaAs-GaAlAs interfaces were investigated in single quantum wells: (a) the abrupt interface produced by growth interruption during molecular-beam epitaxy; (b) the interdiffused interface generated by Ga+ ion implantation and annealing.
Abstract: We investigate two types of GaAs–GaAlAs interfaces in single quantum wells: (a) The abrupt interface produced by growth interruption during molecular‐beam epitaxy; (b) the interdiffused interface produced by Ga+ ion implantation and annealing. The abrupt interfaces are shown to contain islands with sizes ≲1.5 μm. The ion implanted and interdiffused interfaces are characterized by an error function Al concentration profile. The Ga and Al interdiffusion is found to be markedly enhanced (up to ≊100 times at 900 °C) following the ion implantation and annealing. Quantum well boxes (QWB) are produced using the implantation enhanced interdiffusion (IEI) process. The new cathodoluminescence spectral lines observed in the analysis of QWB’s are attributed to three‐dimensional carrier confinement in the potential wells induced by locally enhanced interdiffusion.

Journal ArticleDOI
TL;DR: In this paper, the changes in stress and the conductivity of the films as a function of the processing variables were interpreted in terms of nitrogen, argon, and oxygen concentration in the films.
Abstract: For the reactive sputter deposition of titanium nitride, stress and resistivity of the films has been measured as a function of the processing variables target power, substrate bias, pressure, and N2/Ar ratio. These studies were limited to the conditions that produce titanium nitride of stoichiometry near 1. Through Rutherford backscattering spectroscopy, the changes in stress and the conductivity of the films as a function of the processing variables were interpreted in terms of nitrogen, argon, and oxygen concentration in the films. The increase in resistivity of the films correlates with increased oxygen incorporation and the increase in compressive stress of the films correlates with increased argon incorporation. The amount of oxygen in the films appears to produce a unique value of resistivity but the argon concentration that produces a given compressive stress is a function of the processing parameters that control argon incorporation.