scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 1990"


Journal ArticleDOI
TL;DR: In this article, focused ion beam induced deposition of platinum from a precursor gas of (methylcyclopentadienyl)trimethyl platinum has been demonstrated, and the resistivity and composition of the film and the deposition yield have been measured as a function of ion current density, line dose, substrate temperature, geometry, and supplemental hydrogen pressure.
Abstract: Focused ion beam induced deposition of platinum from a precursor gas of (methylcyclopentadienyl)trimethyl platinum has been demonstrated. This organometallic compound is solid at room temperature with a vapor pressure of 0.054 Torr. Ga+ ions at 30–40 keV have been used. The resistivity and composition of the film and the deposition yield have been measured as a function of ion current density, line dose, substrate temperature, geometry, and supplemental hydrogen pressure. Yield varies from 0.2 to 34, and resistivity varies from 70 to 700 μΩ cm depending on the conditions. The resistivity and content of the carbon impurity are reduced as the ion current increases: the lowest resistivity is observed at the highest current density corresponding to 0.222 nA at scan speed 500 cm/s repeated over a 350 μm long line. The minimum linewidth achieved so far is 0.3 μm. Transmission electron microscopy shows the Pt film to be amorphous, and Auger analysis gives the film composition 46% Pt, 24% C, 28% Ga, and 2% O. The...

182 citations


Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate that the use of characteristic absences in the fractional order diffraction features in RHEED patterns provides detailed quantitative information for the molecular beam epitaxial growth of materials such as GaAs.
Abstract: In general, techniques that monitor the in situ growth of semiconductor materials with electron diffraction [such as reflection high energy electron diffraction (RHEED)] provide no quantitative information about surface stoichiometry. However, sophisticated materials problems, such as heteroepitaxial systems, require such information. Here we demonstrate that the use of characteristic absences in the fractional order diffraction features in RHEED patterns provide detailed quantitative information for the molecular beam epitaxial growth of materials such as GaAs. It is expected that this approach will lead to the improvement of growth for such interface‐sensitive systems as resonant tunneling devices.

177 citations


Journal ArticleDOI
TL;DR: In this paper, the authors measured the growth rate on GaAs(001) layers next to (111)A and ( 111)B surfaces during molecular beam epitaxy growth by scanning microprobe reflection high-energy electron diffraction.
Abstract: Microscopic distributions of growth rates on GaAs(001) layers next to (111)A and (111)B surfaces were measured in real time during molecular beam epitaxy growth by scanning microprobe reflection high‐energy electron diffraction. The increase in the growth rate on the GaAs(001) surface near the edge of (111)A surfaces is observed and the decrease in the growth rate on the GaAs(001) surface near the edge of the (111)B surfaces is found out. The exponential variation of the growth rate as a function of the distance from the edge, reflects surface diffusion of Ga atoms. The diffusion lengths along the [110] and [110] directions are estimated to be about 1 and 8 μm at 560 °C, respectively.

132 citations


Journal ArticleDOI
TL;DR: In this paper, a Schwarzschild objective was used at 20:1 reduction with 14 nm radiation to image line and space features from a transmission mask onto a resist-coated silicon wafer with a resolution better than 0.1 μm.
Abstract: We demonstrate high resolution reduction imaging in the soft x‐ray spectral region using multilayer‐coated reflective optics. In particular, a Schwarzschild objective was used at 20:1 reduction with 14 nm radiation to image line and space features from a transmission mask onto a resist‐coated silicon wafer with a resolution better than 0.1 μm. The mirrors of the objective were coated with Mo/Si multilayers to provide nearly 40% reflectance at near‐normal incidence for the 14 nm radiation. Our results demonstrate that multilayer coatings are capable of enhancing the reflectance of optical components at soft x‐ray wavelengths without significantly degrading their imaging performance.

128 citations


Journal ArticleDOI
TL;DR: In this article, the basic principles of rapid thermal processing (RTP) systems and the important areas of concern are highlighted and the basic system characteristics, the fundamental physics involved, and the techniques for temperature measurement and control are extensively reviewed.
Abstract: This paper highlights the basic principles of rapid thermal processing (RTP) systems and the important areas of concern. The basic system characteristics, the fundamental physics involved, and the techniques for temperature measurement and control are extensively reviewed. We summarize the options currently available for 15 RTP equipment manufacturers and point out the latest developments in RTP system design and temperature measurement. Some novel options for temperature control (optical, fiber optical, and photoacoustic) are included.

117 citations


Journal ArticleDOI
TL;DR: The integro-differential equations which describe free molecular flow in long rectangular trenches in the absence of deposition and to both low pressure chemical vapor deposition and physical vapor deposition are derived in this paper.
Abstract: The integro‐differential equations which describe free molecular flow in long rectangular trenches in the absence of deposition and to both low pressure chemical vapor deposition (LPCVD) and physical vapor deposition (PVD) are derived. A pseudosteady state assumption is implicit in the formulation, i.e., the feature dimensions change slowly relative to the time required for the flux to redistribute in response to the changes. Numerical solution of the governing equations provides film and deposition rate profiles as a function of deposition time until the trench is completely filled. Solutions are discussed for selected values of the sticking coefficient from zero to unity. The calculated film profiles are consistent with empirical results which typically show poor uniformity in PVD and step coverage increasing with decreasing sticking coefficient in LPCVD. Film profiles compare well with Monte Carlo based simulations of deposition processes.

115 citations


Journal ArticleDOI
TL;DR: In this paper, the thickness of the sidewall passivation layer depends on the width of the Si trench and ranges from ≂0.1μm for narrow trenches to ≂ 0.7 μm for wide Si trenches.
Abstract: Sidewall passivation layers produced in the formation of Si trenches by HCl/O2/BCl3 reactive ion etching have been characterized by angle‐resolved x‐ray photoemission measurements and secondary electron microscopy. Electron‐shading effects observed at grazing electron emission angles and electrostatic charging of insulating portions have been used to differentiate photoemission contribution from (i) the trench sidewall film (moderately insulating), (ii) the oxide mask (highly insulating), and (iii) the Si trench bottom (conductive). The sidewall passivation film for this process is found to be a silicon oxide. Only minor amounts of chlorine are incorporated in this film. The thickness of the sidewall passivation layer depends on the width of the Si trench and ranges from ≂0.1 μm for narrow trenches to ≂0.7 μm for wide Si trenches for our conditions. The Si taper formed in this trench etching process is produced by the simultaneous formation of the sidewall film which protects the underlying Si from etchin...

114 citations


Journal ArticleDOI
TL;DR: In this paper, the N2 -SiH4 rf glow discharge plasma has been analyzed by line-of-sight mass spectrometry of species impinging on the deposition electrode (including N atoms), and properties of SiNx Hy films deposited from this plasma have been examined.
Abstract: The N2 –SiH4 rf glow‐discharge plasma has been analyzed by line‐of‐sight mass spectrometry of species impinging on the deposition electrode (including N atoms), and properties of SiNx Hy films deposited from this plasma have been examined. At high rf power and low SiH4/N2 gas ratio, most of the SiH4 is consumed by reaction of SiHm radicals with N atoms at the film surface and becomes incorporated into the film. No Si–N precursor species are seen in the plasma. This is in contrast to the NH3–SiH4 plasma, where the Si(NH2)3 radical is the key gas‐phase precursor. If power is insufficient or SiH4 flow is excessive, disilane is formed in the plasma. Under disilane‐free plasma conditions, films slightly N rich with no Si–H bonding and only 11 at. % H (as N–H) can be deposited at high rate (21 nm/min). The film tensile stress characteristic of the NH3 process is absent in the N2 process due to the absence of precursor chemical condensation beneath the growing surface. However, step coverage is much worse in the...

100 citations


Journal ArticleDOI
TL;DR: In this article, the etch rates, residual lattice damage, surface morphologies, and chemistries of InP, InGaAs, AlInAs, and GaAs plasma etched in electron cyclotron resonance (ECR) CH4/H2/Ar discharges were investigated.
Abstract: We have investigated the etch rates, residual lattice damage, surface morphologies, and chemistries of InP, InGaAs, AlInAs, and GaAs plasma etched in electron cyclotron resonance (ECR) CH4/H2/Ar discharges. The etch rates of InP and InGaAs increase linearly with additional rf biasing of the substrate, and are approximately a factor of 2 faster than for GaAs. Under our conditions the etch rate of Al0.52Ga0.48As is very low (∼25 A min−1) even for the addition of 100 V rf bias. In all of these materials the residual damage layer remaining after dry etching is very shallow (∼20 A) as evidenced from Schottky barrier height and photoluminescence measurements combined with wet chemical etching. InP shows significant P depletion with the addition of rf biasing during the ECR etching while GaAs retains a near‐stoichiometric surface. Hydrogen passivation of shallow donors in n‐type GaAs occurs to a depth of ∼3000 A during exposure to the CH4/H2/Ar discharge for long periods (60 min). The surface morphologies in the...

99 citations


Journal ArticleDOI
TL;DR: AlN/GaN layered structures with layer periods between 1.5 and 40 nm have been grown on (0001) oriented sapphire and α(6H)•SiC substrates as mentioned in this paper.
Abstract: AlN/GaN layered structures with layer periods between 1.5 and 40 nm have been grown on (0001) oriented sapphire and α(6H)‐SiC substrates. The growth was performed using a modified gas source molecular‐beam epitaxy (MBE) technique. Standard effusion cells were used as sources of Al and Ga, and a small, MBE compatible, electron cyclotron resonance (ECR) plasma source was used to activate nitrogen gas prior to deposition. Chemical analysis of the layers was conducted using Auger spectrometry. X‐ray diffractometry, transmission electron microscopy (TEM), and high‐resolution electron microscopy (HREM) were employed for the structural and microstructural studies. Coherent interfaces (no relaxation by misfit dislocations) were observed for bilayer periods smaller than 6 nm. By contrast, completely relaxed individual layers of GaN and AlN with respect to each other were present for bilayer periods above 20 nm. Cathodoluminescence showed a shift in the emission peak from 3.42 eV for the sample with individual 10‐n...

98 citations


Journal ArticleDOI
TL;DR: In this paper, the structure of arsenic stabilized InP surfaces prepared by annealing InP under an arsenic pressure was examined using reflected high-energy electron diffraction, x-ray photoelectron spectroscopy, and xray photo-electron diffraction (XPD).
Abstract: The structure of arsenic stabilized InP surfaces prepared by annealing InP under an arsenic pressure is examined using reflected high‐energy electron diffraction, x‐ray photoelectron spectroscopy, and x‐ray photoelectron diffraction (XPD). It is shown that 1.3–2.6 monolayers of strained InAs are formed on the InP surface when the annealing temperature ranges from 450 to 575 °C. XPD patterns are used to distinguish between arsenic atoms located in the first, second, and third InAs layer. A surface structural degradation is shown to occur at 575 °C.

Journal ArticleDOI
TL;DR: In this paper, a Schottky photodiode with a 50nm AlInAs contact layer and a 475nm GaInAs absorbing layer can be enhanced 1.5 times by using a 16-layer quarter wave stack (QWS) of alternating Al0.17Ga0.30In0.53As and Al 0.48 In0.52As.
Abstract: The spectral responses of resonantly enhanced photodiodes are analyzed theoretically and verified experimentally. The quantum efficiency of a Schottky photodiode with a 50‐nm AlInAs Schottky contact layer and a 475‐nm GaInAs absorbing layer can be enhanced 1.5‐fold by using a 16‐layer quarter wave stack (QWS) of alternating Al0.17Ga0.30In0.53As and Al0.48In0.52As. The enhancement can be further improved if more periods of QWS are incorporated, or the substrate is selectively removed. The resonance width is approximately 60 nm. This type of structure should be very valuable for very small size ultrafast photodiodes and for optoelectronic integration.

Journal ArticleDOI
TL;DR: In this article, the performance of miniaturized electron optical systems comprising a field emission microsource and a microlens for probe forming has been studied and a complete system measuring millimeters in length and diameter with performance exceeding that of a conventional system over a wide range of potentials and working distances (up to 10 mm) appears to be feasible.
Abstract: The performance of miniaturized electron optical systems comprising a field emission microsource and a microlens for probe forming has been studied. A complete system measuring millimeters in length and diameter with performance exceeding that of a conventional system over a wide range of potentials (100 V–10 kV) and working distances (up to 10 mm) appears to be feasible. A scanning tunneling microscope aligned field emission microsource offers performance well suited for this application and a selective scaling approach has been developed to allow a wide range of potentials to be applied. Such miniaturized systems can be of significant importance to many areas of electron‐beam applications.

Journal ArticleDOI
TL;DR: In this paper, the modulation transfer function is used to characterize the proximity effect and six types of correction scheme are compared in this way, these being the use of high beam energies (>20 keV), of low beam energies (< 20 keV, use of multilayer resists, exact dose correction, self-consistent dose correction and the application of correction exposures.
Abstract: A convenient and unambiguous way of characterizing the proximity effect is by use of the modulation transfer function. Six types of correction scheme are compared in this way, these being the use of high beam energies (>20 keV), of low beam energies (<20 keV), the use of multilayer resists, exact dose correction, ‘‘self‐consistent’’ dose correction, and the application of correction exposures. The main conclusions drawn are that the use of high beam energies reduces the proximity effect significantly; that exact dose correction, in addition to performing better than the ‘‘self‐consistent’’ technique, is computationally superior; and that correction exposures are effective, particularly in combination with other correction techniques.

Journal ArticleDOI
TL;DR: In this paper, it was shown that much of the recent photoelectron spectroscopy literature describing the onset of pinning in adsorbate-semiconductor systems at low temperature must be reinterpreted in light of surface photovoltaic effects.
Abstract: It is shown here that much of the recent photoelectron spectroscopy literature describing the onset of pinning in adsorbate-semiconductor systems at low temperature must be reinterpreted in light of surface photovoltaic effects. Two sources of surface charging are discussed, both of which are strongly enhanced at low temperature. The surface photovoltage resulting from separation of electron-hole pairs by the electric field in the depletion region is usually the dominant source of surface potential shifts, and causes flattening of the semiconductor bands. In addition, surface charging due to photoemission into the vacuum may reverse bias a p-type diode at low temperatures, causing increase band bending.

Journal ArticleDOI
TL;DR: In this article, an aperture made of a single crystal of Si is fabricated using ULSI process techniques to reduce the number of electron beam exposure shots by utilizing a specially shaped beam.
Abstract: Electron‐beam cell projection lithography realizes a high throughput capability suitable for ultra‐large‐scale integration (ULSI) manufacturing. This method makes it possible to drastically reduce the number of electron‐beam exposure shots by utilizing a specially shaped beam. This shaped beam is created by an Si aperture which forms various shapes, coinciding with the array of each unit cell of an ULSI pattern. The aperture also forms a rectangular shape for conventional variable‐shaped method in order to create random patterns. An aperture made of a single crystal of Si is fabricated using ULSI process techniques. As the reduction ratio of electron optics is larger than that of an optical stepper, pattern size errors caused by aperture inaccuracies can be reduced significantly.

Journal ArticleDOI
TL;DR: In this paper, a narrow optical bandpass pyrometer was used to measure the surface temperature during the deposition of heteroepitaxial structures when measured using a narrow-optical bandpass Pyrometer.
Abstract: Apparent oscillations in surface temperature occur during the deposition of heteroepitaxial structures when measured using a narrow optical bandpass pyrometer. The oscillation period can be related to the growth rate of the material being deposited, and provides a convenient method for rapid in situ calibration. For Ga1−xAlxAs alloys the oscillation periods can be directly related to the alloy composition. The pyrometer optics can also be used in conjunction with external light sources so that simultaneous pyrometry and reflectrometry can be carried out at multiple wavelengths.

Journal ArticleDOI
TL;DR: In this article, focused ion beams were used for the repair of defects in x-ray masks in a manner analogous to a scanning electron microscope, and the effect of ion channeling on imaging and opaque repair was also described.
Abstract: The application of focused ion beams to the repair of defects in x‐ray masks is described. An image of the defective region on the mask is obtained using the ion beam in a manner analogous to a scanning electron microscope. Opaque defects are removed by physical sputtering of extra absorber. Clear defects are repaired by ion‐beam‐induced decomposition of an organometallic compound to form an opaque film on the substrate. Examples illustrating the repair process and demonstrating submicron spatial resolution are presented. The effect of ion channeling on imaging and opaque repair is also described.

Journal ArticleDOI
TL;DR: In this paper, an in situ stress measurement technique that measures stress as a function of annealing temperature was used to measure the instabilities in mechanical stress induced by heat treatment in a variety of doped/undoped SiO2 films.
Abstract: Using an in situ stress measurement technique that measures stress as a function of annealing temperature, instabilities in mechanical stress induced by heat treatment in a variety of doped/undoped SiO2 films deposited by atmospheric‐pressure chemical vapor deposition (APCVD), low‐pressure chemical vapor deposition (LPCVD), and plasma‐enhanced chemical vapor deposition (PECVD) techniques have been investigated. A large hysteresis in mechanical stress, caused by first heat treatment to which the as‐deposited films are subjected, has been observed in films deposited by APCVD/LPCVD techniques. No such hysteresis is observed in films deposited by PECVD technique. Hysteresis in APCVD/LPCVD films is found to vanish once the films are heat treated at or above 800 °C. Stress behavior of heat treated (≥800 °C) films is found to resemble that of thermally grown SiO2 films and can be described by simple elastic theory. During the first annealing cycle, APCVD/LPCVD films have been found to develop stress levels as la...

Journal ArticleDOI
TL;DR: In this article, the authors investigated several all-reflective designs which have potential application for soft x-ray projection lithography and made trade-off studies between three types of systems: strip field scanning systems, large field nonscanning systems with both curved and flat mask.
Abstract: We have investigated several all‐reflective designs which have potential application for soft x‐ray projection lithography. The resolution goal for all designs was 0.1 μm or better. Different design aspects including usable field size, distortion, obscuration, number of mirrors, surface shape (spherical versus aspheric), and system packaging were explored. Trade‐off studies were made between three types of systems: strip field scanning systems, and large field nonscanning systems with both curved and flat mask. Several candidate unobscured designs with 0.1 μm resolution are presented.

Journal ArticleDOI
TL;DR: In this paper, a volumetric 10:1 ratio of citric acid and hydrogen peroxide was used for GaAs/Al 0.30Ga0.70As systems.
Abstract: A volumetric 10:1 ratio of citric acid (50% by weight) and hydrogen peroxide (30%) is shown to be a better selective etchant of GaAs/Al0.30Ga0.70As systems than the more commonly used ammonium‐hydroxide/hydrogen peroxide solutions in terms of the selectivity of the etching rate, the smoothness of the etched surface, and the abruptness of the etch‐defined edge. In addition, the citric acid/hydrogen peroxide solution does not attack Shipley 1400 series positive photoresists. This simple and reproducible selective etching process has been applied to prepare GaAs optical devices for transmission measurements (‘‘doughnut’’ samples).

Journal ArticleDOI
TL;DR: In this paper, a Clausing-like integral equation is derived for both low pressure chemical vapor deposition and physical vapor deposition in cylindrical contact holes; i.e., over the full range of sticking coefficient (0−1).
Abstract: A Clausing‐like integral equation is derived which applies to both low pressure chemical vapor deposition (CVD) and physical vapor deposition (PVD) in cylindrical contact holes; i.e., over the full range of sticking coefficient (0–1). A steady state assumption is implicit in the formulation. In the absence of film deposition, the flux to the surface is spatially uniform. Analytical expressions are presented for the initial deposition profiles for PVD (unity sticking coefficient). Numerical inversions of the integral equations provide initial deposition profiles for CVD (low sticking coefficients). Initial deposition profiles exhibit poor uniformity in PVD and high uniformity in CVD, in agreement with empirical evidence. The results provide a test for proposed Monte Carlo simulations which are based on the same assumptions.

Journal ArticleDOI
TL;DR: In this article, a simple solution to the nonlinear behavior of piezo ceramic actuators is proposed by measuring the position of the scanning head with capacitors, and the circuit monitoring the gap between the plates has a linear response with slope 12 μm/V and noise of 0.1 nm/(Hz)1/2.
Abstract: A serious impediment to precision metrology with scanning probe microscopes is the unreproducible, nonlinear behavior of the piezo ceramic actuators. We have developed a simple solution to the problem by measuring the position of the scanning head with capacitors. The circuit monitoring the gap between the plates has a linear response with slope 12 μm/V and noise of 0.1 nm/(Hz)1/2. The linearity of the system is verified by comparing the capacitor output with a scan of a grating that is periodic in two dimensions. We analyze the errors associated with the technique and show how to reduce them to acceptable levels.

Journal ArticleDOI
TL;DR: In this paper, a discharge can be sustained at low pressure (≲10−3 Torr) in a single-wafer reactor using experimental quarter-wave helical resonator structures operated at radio frequencies.
Abstract: We have shown that a discharge can be sustained at low pressure (≲10−3 Torr) in a single‐wafer reactor using experimental quarter‐wave helical resonator structures operated at radio frequencies. These discharge sources have been used to etch submicron‐wide polysilicon gates with chlorine and chlorine/oxygen mixtures. Selectivities for undoped polysilicon over oxide and hard baked trilevel photoresist were 70:1 and 2.8:1, respectively, in a 75 W discharge operated at 0.1 mTorr with a Cl2/1% O2 feed gas mixture. Anisotropic profiles of 0.25 μm lines were obtained across 100 mm wafers with negligible linewidth loss. These preliminary experiments show that rf resonator discharges may offer an alternative to low‐pressure microwave discharges such as those based on electron cyclotron resonance.

Journal ArticleDOI
TL;DR: In this article, the changes in chemical composition and Fermi level position of n− and p−InP surfaces induced by reactions with sodium sulfide and ammonium sulfide solutions and with vapor from ammonium-sulfide solution have been characterized by x-ray photoelectron spectroscopy (XPS).
Abstract: The changes in chemical composition and Fermi level position of n‐ and p‐InP surfaces induced by reactions with sodium sulfide and ammonium sulfide solutions and with vapor from ammonium sulfide solution have been characterized by x‐ray photoelectron spectroscopy (XPS). Further, in situ XPS analysis was used to study interfaces formed on sulfide passivated surfaces by remote plasma enhanced chemical vapor deposition of silicon nitride. We found that both indium and phosphorus sulfide phases were formed as a result of exposing InP to sulfide vapor at room temperature. However, most of the surface native sulfides dissolved in water and the resultant surface was then covered with about one monolayer of indium sulfide. Further, reduction in donor states in the upper band gap was evident by these sulfide treatments. However, heating the sulfide passivated sample caused an increase in surface states. Sulfur doping effect was also observed upon heating InP covered with the air oxidation products of the sulfide v...

Journal ArticleDOI
TL;DR: In this article, a new class of III-V based diluted magnetic semiconductors, specifically In1−xMnxAs (x≲0.2) and InAs/InMnAs multilayer structures, has been prepared by molecular beam epitaxy.
Abstract: A new class of III–V based diluted magnetic semiconductors, specifically In1−xMnxAs (x≲0.2) and InAs/InMnAs multilayer structures, has been prepared by molecular beam epitaxy. The x‐ray diffraction measurements reveal that the incorporation of Mn can be predominantly either homogeneous (200 °C) or inhomogeneous (300 °C), depending on the growth temperature. Semiconducting properties of the films have been examined by optical absorption and Hall effect measurements, and it has been found the films of homogeneous alloy are n‐type and have a band gap which decreases with increasing Mn composition. Magnetization measurements indicate that the homogeneous alloy exhibits paramagnetic behavior, whereas ferromagnetic behavior dominates for the inhomogeneous case. The growth of GaMnAs has also been examined.

Journal ArticleDOI
TL;DR: In this paper, high rate (≤10 μm min−1 ) etching of GaAs, AlxGa1−x As, and GaSb in Cl2/Ar or SiCl4/Ar discharges is reported.
Abstract: High rate (≤10 μm min−1 ) etching of GaAs, AlxGa1−x As, and GaSb in Cl2/Ar or SiCl4/Ar discharges is reported. The etching was investigated as a function of discharge pressure, power density and composition, and changes in the etching rate of AlxGa1−xAs when SF6 was added to the gas mixtures. Highly anisotropic etching was achieved with SiCl4 for all materials, whereas a greater degree of chemical etching was evident with Cl2. Provided self‐biases were ≤50 V, excellent Schottky diode characteristics were exhibited by TiPtAu contacts on SiCl4 or Cl2 etched GaAs surfaces. Energetic‐ion bombardment caused carrier compensation up to 2200 A from the surface in n‐type (1017 cm−3 ) GaAs, with this depth being less for lower self‐biases. Photoluminescence decreases of 2–25 times were observed after reactive ion etching of GaAs for both types of discharge. Chlorine residues were typically present to a depth of <20 A after etching, with cleaner surfaces obtained with SiCl4 than with Cl2.

Journal ArticleDOI
TL;DR: In this paper, the reactive ion etching of InP, InGaAs, and InAlAs in CCl2F2/O2 or C2H6/H2 discharges was investigated as a function of the plasma parameters pressure, power density, flow rate, and relative composition.
Abstract: The reactive ion etching of InP, InGaAs, and InAlAs in CCl2F2/O2 or C2H6/H2 discharges was investigated as a function of the plasma parameters pressure, power density, flow rate, and relative composition. The etch rates of these materials are a factor of 3–5× faster in CCl2F2/O2 (∼600–1000 A min−1) compared to C2H6/H2 (160–320 A min−1). Significantly smoother morphologies are obtained with C2H6/H2 etching provided the composition of the plasma is no more than 10%–20% by volume of C2H6. At higher ethane compositions, polymer formation increases leading to micromasking and rough surface morphologies. Subsurface disorder is limited to <300 A deep for both gas chemistries for plasma power densities of 0.85 W cm−2.The C2H6/H2 mixture leaves an In‐rich surface in all cases, but this surface is free of any residual contamination, whereas the CCl2F2/O2 chemistry leaves chlorofluorocarbon residues ∼20–50 A thick on the surface of all three In‐based materials.

Journal ArticleDOI
TL;DR: In this paper, the impact of mixing on the absolute accuracy of a single frequency laser interferometer is shown to be significantly smaller than on the accuracy of two-frequency interferometers.
Abstract: Many distance measuring interferometers use orthogonally polarized beams in their reference and measurement arms. In practice, instrumental imperfections corrupt the polarized beam in each arm with a small amplitude signal from the other arm having the perpendicular polarization. The impact of this polarization mixing on the absolute accuracy of a single frequency laser interferometer is shown to be significantly smaller than on the accuracy of a two‐frequency interferometer. Certain signals obtained from a single‐frequency interferometer have no phase error due to polarization mixing. Other signals are affected in proportion to the ratio between the small mixing amplitudes and the primary polarization amplitudes. Roughly, those errors in the single frequency device depend on the square of the small mixing ratios while the error in the two frequency interferometer depends on a larger quantity, the sum of these ratios. For a mixing ratio of 0.1, the error in the single‐frequency interferometer is about 20 ...

Journal ArticleDOI
TL;DR: In this article, high quality GaAs/Ge/GaAs heterojunctions grown by molecular beam epitaxy were reported, and the electrical properties of the heterjunctions were studied and the transistor characteristics of a prototype N−Al0.22Ga0.78As/p+•Ge/n−GaAs bipolar transistor was presented.
Abstract: We report high quality GaAs/Ge/GaAs heterojunctions grown by molecular‐beam epitaxy. Antiphase domain (APD) free GaAs on Ge growth, as verified by high‐energy electron diffraction and transmission electron microscopy, has been achieved for epitaxial (100) Ge surfaces misoriented towards [011] while APDs are observed to annihilate after roughly 500 A of GaAs growth on nominally (100) epitaxial Ge. The outdiffusion of Ga and As into epitaxial Ge and outdiffusion of Ge into epitaxial GaAs was investigated by in situ x‐ray photoemission spectroscopy and secondary ion mass spectroscopy. The electrical properties of the heterojunctions are studied and the transistor characteristics of a prototype N–Al0.22Ga0.78As/p+‐Ge/n‐GaAs heterojunction bipolar transistor is presented.