scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2000"


Journal ArticleDOI
TL;DR: In this paper, the Schottky barrier heights and band offsets for high dielectric constant oxides on Pt and Si were calculated and good agreement with experiment is found for barrier heights.
Abstract: Wide-band-gap oxides such as SrTiO3 are shown to be critical tests of theories of Schottky barrier heights based on metal-induced gap states and charge neutrality levels. This theory is reviewed and used to calculate the Schottky barrier heights and band offsets for many important high dielectric constant oxides on Pt and Si. Good agreement with experiment is found for barrier heights. The band offsets for electrons on Si are found to be small for many key oxides such as SrTiO3 and Ta2O5 which limit their utility as gate oxides in future silicon field effect transistors. The calculations are extended to screen other proposed oxides such as BaZrO3. ZrO2, HfO2, La2O3, Y2O3, HfSiO4, and ZrSiO4. Predictions are also given for barrier heights of the ferroelectric oxides Pb1−xZrxTiO3 and SrBi2Ta2O9 which are used in nonvolatile memories.

1,947 citations


Journal ArticleDOI
TL;DR: In this article, a method of calculation of pore size distribution and results of measurements on mesoporous and microporous xerogel films is discussed, and the validity of the Gurvitsch rule for various organic adsorptives (toluene, heptane, and carbon tetrachloride) is assessed.
Abstract: We show that ellipsometric porosimetry can be used for the measurement of the pore size distribution in thin porous films deposited on top of any smooth solid substrate. In this method, in situ ellipsometry is used to determine the amount of adsorptive, which is adsorbed/condensed in the film. Changes in refractive index and film thickness are used to calculate the quantity of adsorptive present in the film. Room temperature porosimetry based on adsorption of vapor of organic solvents has been developed. In this article, a method of calculation of pore size distribution and results of measurements on mesoporous and microporous xerogel films is discussed. Examination of the validity of the Gurvitsch rule for various organic adsorptives (toluene, heptane, and carbon tetrachloride) is carried out to assess the reliability of measurements of pore size distributions by ellipsometric porosimetry.

495 citations


Journal ArticleDOI
TL;DR: In this paper, an automated tool for step and flash imprint lithography was constructed to allow defect studies by making multiple imprints on a 200 mm wafer, and the imprint templates for this study were treated with a low surface energy, self-assembled monolayer to ensure selective release at the template-etch barrier interface.
Abstract: We have finished the construction of an automated tool for step and flash imprint lithography. The tool was constructed to allow defect studies by making multiple imprints on a 200 mm wafer. The imprint templates for this study were treated with a low surface energy, self-assembled monolayer to ensure selective release at the template-etch barrier interface. This surface treatment is very durable and survives repeated imprints and multiple aggressive physical and chemical cleanings. The imprint and release forces were measured for a number of successive imprints, and did not change significantly. The process appears to be “self-cleaning.” Contamination on the template is entrained in the polymerizing liquid, and the number of defects is reduced with repeated imprints.

455 citations


Journal ArticleDOI
TL;DR: In this article, gas bubbles of nanometer size were produced on atomically flat solid surfaces and imaged by atomic force microscopy (AFM) in tapping mode in water.
Abstract: Gas bubbles of nanometer size were produced on atomically flat solid surfaces and imaged by atomic force microscopy (AFM) in tapping mode in water. In AFM images, nanobubbles appeared like blight spheres. Some of the bubbles remained stable for hours during the experiments. The bubbles were disturbed under high load during AFM imaging. A related mechanism is discussed. (C) 2000 American Vacuum Society. [S0734-211X(00)02505-1].

429 citations


Journal ArticleDOI
TL;DR: In this paper, a 30 keV Ga+ focused ion beam assisted deposition using a aromatic hydrocarbon precursor was performed by a transmission microscope and Raman spectra, which indicated that the deposition film is a diamond-like amorphous carbon.
Abstract: Three-dimensional nanostructure fabrication has been demonstrated by 30 keV Ga+ focused ion beam assisted deposition using a aromatic hydrocarbon precursor. The characterization of deposited film on a silicon substrate was performed by a transmission microscope and Raman spectra. This result indicates that the deposition film is a diamondlike amorphous carbon. Production of three-dimensional nanostructure is discussed. Microcoil, drill, and bellows with 0.1 μm dimension were fabricated as parts of the microsystem. Furthermore, microstructure plastic arts is advocated as a new field using microbeam technology, presenting one example of a microwine glass with 2.75 μm external diameter and 12 μm height.

390 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the field emission properties of nanotube thin films deposited by a plasma enhanced chemical vapor deposition process from 2% CH4 in H2 atmosphere.
Abstract: We have investigated the field emission properties of nanotube thin films deposited by a plasma enhanced chemical vapor deposition process from 2% CH4 in H2 atmosphere Depending on the deposition of the metallic catalyst [Fe(NO3)3 in an ethanol solution or sputtered Ni] the nanotube films showed a nested or continuous dense distribution of tubes The films consisted of multiwalled nanotubes (MWNTs) with diameters ranging from 40 down to 5 nm, with a large fraction of the tubes having open ends The nanotube thin film emitters showed a turn-on field of less than 2 V μm−1 for an emission current of 1 nA An emission site density of 10 000 emitters per cm−2 is achieved at fields around 4 V μm−1 The emission spots, observed on a phosphorous screen, show various irregular structures, which we attribute to open ended tubes A combined measurement of the field emitted electron energy distribution (FEED) and the current-voltage characteristic allowed us to determine the work function at the field emission site

332 citations


Journal ArticleDOI
TL;DR: In this article, the authors use a thin, conformal Ta or Ti/TiN films on the walls of a dielectric trench or via which reduces or eliminates out-diffusion of the primary conductor, usually Cu, into the dielectrics.
Abstract: Thin films of inert, refractory materials are used in semiconductor interconnect applications as diffusion barriers, seed, and adhesion layers. A typical example is the use of a thin, conformal Ta or Ti/TiN films on the walls of a dielectric trench or via which reduces or eliminates out-diffusion of the primary conductor, usually Cu, into the dielectric. Atomic layer deposition is a known technique which is intrinsically conformal and is appropriate for this application. Plasma enhancement of the process allows deposition at significantly lower temperatures than conventional chemical vapor deposition, which is a requirement for low-k dielectrics. Tantalum films deposited at 25–400 °C using ALD with a TaCl5 precursor and atomic hydrogen as the reactive species at up to a rate of 1.67 Ang/cycle are amorphous, conformal, and show moderate or controllable levels of impurities; primarily oxygen and a small level of Cl. Similar results have been observed for Ti using TiCl4 as a precursor. The process scales to manufacturing dimensions and applications and will facilitate the extension of interconnect technology beyond (below) 100 nm dimensions.Thin films of inert, refractory materials are used in semiconductor interconnect applications as diffusion barriers, seed, and adhesion layers. A typical example is the use of a thin, conformal Ta or Ti/TiN films on the walls of a dielectric trench or via which reduces or eliminates out-diffusion of the primary conductor, usually Cu, into the dielectric. Atomic layer deposition is a known technique which is intrinsically conformal and is appropriate for this application. Plasma enhancement of the process allows deposition at significantly lower temperatures than conventional chemical vapor deposition, which is a requirement for low-k dielectrics. Tantalum films deposited at 25–400 °C using ALD with a TaCl5 precursor and atomic hydrogen as the reactive species at up to a rate of 1.67 Ang/cycle are amorphous, conformal, and show moderate or controllable levels of impurities; primarily oxygen and a small level of Cl. Similar results have been observed for Ti using TiCl4 as a precursor. The process scales to ...

267 citations


Journal ArticleDOI
TL;DR: In this paper, the nano-print process is done in a single layer as well as in a multilayer resist scheme with subsequent O2-plasma etching and metal lift-off.
Abstract: We demonstrate the nanoimprint lithography (NIL) technique with sub 100 nm resolution, on 6 in. Si substrates. The pattern transfer is performed using a specially designed NIL machine optimized to achieve a very high degree of parallelism between stamp and substrate. The stamp is made with the help of electron beam lithography and Ni electroplating achieving features below 100 nm in size. The nanoimprint process is done in a single layer as well as in a multilayer resist scheme with subsequent O2-plasma etching and metal lift-off.

207 citations


Journal ArticleDOI
TL;DR: In this article, the formation of amorphous silicon thin film transistors (TFTs) on glass and flexible transparent plastic substrates using rf plasma enhanced chemical vapor deposition and a maximum processing temperature of 110°C was described.
Abstract: This article describes the formation of amorphous silicon thin film transistors (TFTs) on glass and flexible transparent plastic substrates using rf plasma enhanced chemical vapor deposition and a maximum processing temperature of 110 °C. Silane diluted with hydrogen was used for the preparation of the amorphous silicon, and SiH4/NH3/N2 or SiH4/NH3/N2/H2 mixtures were used for the deposition of the silicon nitride gate dielectric. The amorphous silicon nitride layers were characterized by transmission infrared spectroscopy and current-voltage measurements; the plastic substrates were 10 mil thick (0.25 mm) polyethylene terephthalate sheets. Transistors formed using the same process on glass and plastic showed linear mobilities ranging from 0.1 to 0.5 cm2/V s with ION/IOFF ratios⩾107. To characterize the stability of the transistors on glass, n- and p-channel transconductances were measured before and after bias stressing. Devices formed at 110 °C show evidence of charge trapping near the a-Si/SiNx interfa...

194 citations


Journal ArticleDOI
TL;DR: In this article, a new process for applying a hydrophobic, low adhesion energy coating to microelectromechanical (MEMS) devices was developed, where monolayer films are synthesized from tridecafluoro-1,1,2,2-2-tetrahydrooctyltrichlorosilane (FOTS) and water vapor in a low-pressure chemical vapor deposition process at room temperature.
Abstract: We have developed a new process for applying a hydrophobic, low adhesion energy coating to microelectromechanical (MEMS) devices. Monolayer films are synthesized from tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) and water vapor in a low-pressure chemical vapor deposition process at room temperature. Film thickness is self-limiting by virtue of the inability of precursors to stick to the fluorocarbon surface of the film once it has formed. We have measured film densities of {approx}3 molecules nm{sup 2} and film thickness of {approx}1 nm. Films are hydrophobic, with a water contact angle >110{sup o}. We have also incorporated an in-situ downstream microwave plasma cleaning process, which provides a clean, reproducible oxide surface prior to film deposition. Adhesion tests on coated and uncoated MEMS test structures demonstrate superior performance of the FOTS coatings. Cleaned, uncoated cantilever beam structures exhibit high adhesion energies in a high humidity environment. An adhesion energy of 100 mJ m{sup -2} is observed after exposure to >90% relative humidity. Fluoroalkylsilane coated beams exhibit negligible adhesion at low humidity and { 90% relative humidity. No obvious film degradation was observed for films exposed to >90% relative humidity at room temperature for >24 hr.

194 citations


Journal ArticleDOI
TL;DR: An overview of the existing two-dimensional carrier profiling tools using scanning probe microscopy includes several scanning tunneling microscopy modes, scanning capacitance microscopy, Kelvin probe microscope, scanning spreading resistance microscopy and dopant selective etching.
Abstract: An overview of the existing two-dimensional carrier profiling tools using scanning probe microscopy includes several scanning tunneling microscopy modes, scanning capacitance microscopy, Kelvin probe microscopy, scanning spreading resistance microscopy, and dopant selective etching. The techniques are discussed and compared in terms of the sensitivity or concentration range which can be covered, the quantification possibility, and the final resolution, which is influenced by the intrinsic imaging resolution as well as by the response of the investigated property to concentration gradients and the sampling volume. From this comparison it is clear that, at present, none of the techniques fulfills all the requirements formulated by the 1997 Semiconductor Industry Association roadmap for semiconductors [National Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA, 1997)]. Most methods are limited to pn-junction delineation or provide a semiquantitative image of the differen...

Journal ArticleDOI
TL;DR: In this article, structural, interfacial and electrical properties of the oxide thin films on Si have been characterized using in situ reflection high energy electron diffraction, x-ray diffraction and spectroscopic ellipsometry.
Abstract: Over the years, the development of epitaxial oxides on silicon has been a great technological challenge. Amorphous silicon oxide layer forms quickly at the interface when the Si surface is exposed to oxygen, making the intended oxide heteroepitaxy on Si substrate extremely difficult. Epitaxial oxides such as BaTiO3 (BTO) and SrTiO3 (STO) integrated with Si are highly desirable for future generation transistor gate dielectric and ferroelectric memory cell applications. In this article, we review the recent progress in the heteroepitaxy of oxide thin films on Si(001) substrate by using the molecular beam epitaxy technique at Motorola Labs. Structural, interfacial and electrical properties of the oxide thin films on Si have been characterized using in situ reflection high energy electron diffraction, x-ray diffraction, spectroscopic ellipsometry, atomic force microscopy, Auger electron spectroscopy, x-ray photoelectron spectroscopy, high-resolution transmission electron microscopy, high-resolution transmission electron energy loss spectroscopy, capacitance–voltage and current–voltage measurement. We also present the transistor results and address the impact of the epitaxial oxide films on future generation metal-oxide-semiconductor field effect transistors.

Journal ArticleDOI
TL;DR: In this article, a supercritical drying process was developed to eliminate the capillary forces naturally present during normal drying of photoresist materials, where supercritical carbon dioxide (scCO2), organic solvents and surfactants were used to prevent the collapse of high-aspect-ratio structures fabricated from aqueous-based photoresists.
Abstract: A supercritical drying process was developed to eliminate the capillary forces naturally present during normal drying of photoresist materials. Supercritical carbon dioxide (scCO2), organic solvents and surfactants were used to prevent the collapse of high-aspect-ratio structures fabricated from aqueous-based photoresist. Nondistorted resist lines were patterned with this process with aspect ratios of at least 6.8. Water rinsed resist structures cannot be dried directly with scCO2 due to the low solubility of water in the supercritical phase. In our process we introduced the replacement of the aqueous rinse by n-hexane mediated by a compatible surfactant. The surfactant allowed to incorporate the aqueous phase into micellar microdomains in the organic phase while keeping the interfacial tension at values close to zero. Noncollapsed supercritically dried structures were rewet in n-hexane or water and dried using nitrogen at atmospheric pressure. Under these conditions, the patterns were collapsed as a resu...

Journal ArticleDOI
TL;DR: In this paper, the use of inorganic PF3AuCl as a precursor was used to obtain high purity gold lines from focused electron beam writing of pure metal nanostructures.
Abstract: Codeposition of hydrocarbons is a severe problem during focused electron beam writing of pure metal nanostructures. When using organometallic precursors, a low metal content carbonaceous matrix embedding and separating numerous nanosized metal clusters is formed. In this work, we present a new and easy approach to obtain high purity gold lines: the use of inorganic PF3AuCl as a precursor. Electrical resistivities as low as 22 μΩ cm at 295 K (ten times the bulk Au value) were obtained. This is to our knowledge the best value for focused electron beam deposition obtained from the vapor phase so far. No special care was taken to prevent hydrocarbon contamination. The deposited nanostructure consists of gold grains varying in size and percolation with beam parameters.

Journal ArticleDOI
TL;DR: In this article, a novel fabrication process and preliminary characterization of a nanomechanical resonating device, which is to be used for mass detection, is reported. But the fabrication of the device is based on laser lithography on Al coated SiO2/p++Si/SiO 2/Si O2/Si structures followed by dry and wet etching.
Abstract: We report on a novel fabrication process and preliminary characterization of a nanomechanical resonating device, which is to be used for mass detection. The fabrication of the device is based on laser lithography on Al coated SiO2/p++Si/SiO2/Si structures, followed by dry and wet etching. We have fabricated highly doped polysilicon free-hanging cantilevers and anchored drivers for lateral cantilever vibration, where the motion of the cantilever is parallel to the substrate. The cantilevers are actuated electrically by applying an ac voltage between the cantilever and driver. The laterally vibrating cantilever structures are approximately 30–50 μm in length, 1.8 μm in height, and 500 nm in width. The characterization of the resonators was performed by direct observation of the cantilever through an optical microscope. An electrical measuring technique is also presented and discussed. Typical values of resonant frequency and quality factor, at 1 atm, are approximately 500 kHz and 50, respectively. Moreover,...

Journal ArticleDOI
TL;DR: In this article, the properties of InAs quantum dots placed in a strained InGaAs quantum well are investigated, and it is shown that these dots-in-a-well structures have superior optical properties as compared to conventional InAs dots in a GaAs matrix, and their emission wavelength can be tuned past the technologically important wavelength of 1.3 μm.
Abstract: The properties of InAs quantum dots placed in a strained InGaAs quantum well are investigated. The structures are grown by solid-source molecular beam epitaxy on GaAs substrates and are characterized using photoluminescence and atomic force microscopy. Emission wavelength and the optical quality of the quantum dots vary with growth temperature and also depend on the position of the dots in the well. A strong dependence of the dot properties on the capping conditions is established. A postgrowth anneal similar to a typical laser cladding growth results in a large photoluminescence (PL) blueshift and reduces the PL intensity by more than two orders of magnitude. It is shown that these dots-in-a-well structures have superior optical properties as compared to conventional InAs dots in a GaAs matrix, and their emission wavelength can be tuned past the technologically important wavelength of 1.3 μm.

Journal ArticleDOI
TL;DR: In this paper, a molecular approach to information storage is described that uses porphyrins attached to a Au microelectrode as the memory storage element, where information is stored in the multiple oxidation states (neutral, monocation, dication).
Abstract: A molecular approach to information storage is described that uses porphyrins attached to a Au microelectrode as the memory storage element. A set of four zinc porphyrins has been examined, with each porphyrin bearing three mesityl groups and one S-acetylthio-derivatized linker of structure 1-[AcS-(CH2)n]-4-phenylene (n=0, 1, 2, or 3). Each porphyrin forms a self-assembled monolayer (SAM) on the Au microelectrode. Information is stored in the multiple oxidation states (neutral, monocation, dication) of the porphyrin. The charge retention time for each of the porphyrin monocations is in the regime of hundreds of seconds and varies with molecular structure (t1/2=116, 167, 656, and 885 s for n=0–3, respectively). The redox process in the SAM can be cycled thousands of times under ambient conditions without loss of signal, thus the loss in charge does not stem from decomposition. The fact that the system (1) is fabricated by self-assembly, (2) is addressed electrically, (3) operates under ambient conditions, ...

Journal ArticleDOI
TL;DR: A bilayer resist system consisting of hydrogen silsesquioxane (HSQ) as negative tone electron (e)-beam resist top coat and hard baked novolak resist as bottom coat, has been investigated for its ability to yield high aspect ratio nanoscale structures as mentioned in this paper.
Abstract: A bilayer resist system, consisting of hydrogen silsesquioxane (HSQ) as negative tone electron (e)-beam resist top coat and hard baked novolak resist as bottom coat, has been investigated for its ability to yield high aspect ratio nanoscale structures. For comparison, single layer HSQ (hard mask) has been investigated for its resolution, contrast, and process latitude. In single layer HSQ, dense lines and spaces (1:1) have been resolved down to 20 nm and single lines have been obtained with widths less than 15 nm. Processing conditions which result in higher contrasts in HSQ also result in higher horizontal contrasts, i.e., in poorer process latitudes; this effect has previously been observed for other negative tone e-beam resists as well. In the bilayer combination, HSQ allows nanoscale structures with an aspect ratio exceeding 15 to be etched in hard baked novolak resist. Single lines with 800 nm height and 40 nm width, semidense lines and spaces (1:2) with 155 nm height and 25 nm width, and dense lines...

Journal ArticleDOI
TL;DR: In this paper, a diffractive Fresnel zone plate lens was used for short wavelength x-ray radiation microscopy at the Advanced Light Source Synchrotron in Berkeley, California.
Abstract: Short wavelength x-ray radiation microscopy is well suited for a number of material and life science studies. The x-ray microscope (XM1) at the Advanced Light Source Synchrotron in Berkeley, California uses two diffractive Fresnel zone plate lenses. The first is a large condenser lens, which collects soft x-ray radiation from a bending magnet, focuses it, and serves as a linear monochromator. The second is the objective zone plate lens, which magnifies the image of the specimen onto a high-efficiency charge coupled device detector. The objective lens determines the numerical aperture and ultimate resolution. New objective lens zone plates with a minimum linewidth of 25 nm and excellent linewidth control have been fabricated using Berkeley Lab’s 100 keV Nanowriter electron beam lithography tool, a calixarene high-resolution negative resist, and gold electroplating. Although the condenser zone plate is less critical to the resolution of the instrument, its efficiency determines the flux on the sample and ul...

Journal ArticleDOI
TL;DR: In this paper, the fabrication of gold nanostructures using self-assembled monolayers of aliphatic and aromatic thiols as positive and negative electron beam resists is presented.
Abstract: We show the fabrication of gold nanostructures using self-assembled monolayers of aliphatic and aromatic thiols as positive and negative electron beam resists. We applied a simple and versatile proximity printing technique using focused ion beam structured stencil masks and low energy (300 eV) electrons. We also used conventional e-beam lithography with a beam energy of 2.5 keV and doses from 3500 to 80 000 μC/cm2. Gold patterns were generated by wet etching in KCN/KOH and characterized by atomic force microscopy and scanning electron microscopy. The width of the finest lines is ∼20 nm; their edge definition is limited by the isotropic etching process in the polycrystalline gold.

Journal ArticleDOI
TL;DR: In this paper, a novel alignment system for imprint lithography in the deep sub-hundred nanometer realm is proposed, where the mold is deliberately deformed with a system of piezoelectric actuators in such a way that its induced distortions precisely match those on the wafer.
Abstract: A novel alignment system for imprint lithography in the deep sub-hundred nanometer realm is proposed. The new system is inherently more precise than the alignment systems used in conventional projection lithography because alignment marks on an imprint mold (the functional equivalent of a photomask in projection lithography) are directly compared to alignment marks on a wafer with no intermediate optics or reference points. If the measured misalignment is so severe that all marks cannot be brought into registration simultaneously by the usual x–y translations and rotations, the mold is deliberately deformed with a system of piezoelectric actuators in such a way that its induced distortions precisely match those on the wafer and all of the alignment marks at each chip site can be pulled into registration simultaneously. Finite-element analysis indicates that using actuators to distort the mold is superior to distorting the wafer.

Journal ArticleDOI
TL;DR: In this paper, the authors studied the optical properties of bulk and thin Si and Pt using spectroscopic ellipsometry over a very broad spectral range, starting at 0.03 eV [using Fourier transform infrared (FTIR] to 8.7 eV.
Abstract: We have studied the optical properties (complex dielectric function) of bulk SrTiO3 and thin films on Si and Pt using spectroscopic ellipsometry over a very broad spectral range, starting at 0.03 eV [using Fourier transform infrared (FTIR) ellipsometry] to 8.7 eV. In the bulk crystals, we analyze the interband transitions in the spectra to determine the critical-point parameters. To interpret these transitions, we performed band structure calculations based on ab initio pseudopotentials within the local-density approximation. The dielectric function was also calculated within this framework and compared with our ellipsometry data. In the FTIR ellipsometry data, we notice a strong lattice absorption peak due to oxygen-related vibrations. Two longitudinal optic (LO) phonons were also identified. In SrTiO3 films on Si, the refractive index below the band gap decreases with decreasing thickness because of the increasing influence of the amorphous interfacial layer between the SrTiO3 film and the Si substrate. There is also a decrease in amplitude and an increase in broadening of the critical points with decreasing thickness. In SrTiO3 films on Pt, there is a strong correlation between the crystallinity and texture of the films (mostly aligned with the Pt pseudosubstrate) and the magnitude of the refractive index, the Urbach tail below the bulk band edge, and the critical-point parameters. FTIR reflectance measurements of SrTiO3 on Pt (reflection–absorption spectroscopy) show absorption peaks at the LO phonon energies, a typical manifestation of the Berreman effect for thin insulating films on a metal. The Urbach tail in our ellipsomety data and the broadening of the optical phonons in SrTiO3 on Pt are most likely caused by oxygen vacancy clusters.

Journal ArticleDOI
TL;DR: In this paper, a technique to create templates for nanofabrication using thin films of diblock copolymers is discussed and demonstrated using extreme ultraviolet interferometric lithography, self-assembled monolayers of octadecyltrichlorosilane as imaging layers, and self-assembly of films of symmetric poly(styrene-b-methyl methacrylate).
Abstract: A technique to create templates for nanofabrication using thin films of diblock copolymers is discussed and demonstrated. Advanced lithographic techniques are used to create chemically patterned surfaces that manipulate the wetting behavior of diblock copolymer films and to guide the spatial microphase separation of the block copolymer domains. Guided microphase separation has great potential for application of block copolymer films in nanofabrication because of perpendicular orientation of the domains to the substrate and macroscopic perfection in the ordering of copolymer domains. Lithography allows for registration of the domains with the substrate for creating addressable arrays. Experimental implementation of the technique is demonstrated using extreme ultraviolet interferometric lithography, self-assembled monolayers of octadecyltrichlorosilane as imaging layers, and the self-assembly of films of symmetric poly(styrene-b-methyl methacrylate).

Journal ArticleDOI
TL;DR: In this article, a combined experimental and modeling protocol is presented to elucidate the chemistry and physics of the reaction-diffusion process, which provides a means of developing a set of predictive, mutually comparable data that will allow new insights to be developed into the nanoscale behavior.
Abstract: Acid diffusion during postexposure baking is viewed to be a limiting factor in the extension of lithography using chemically amplified resists to formation of nanoscale features. Quantification of thermally activated reaction-diffusion kinetics in these materials is therefore an important step in understanding the extendability of this class of resist systems. Previous investigations have addressed this issue, however there is poor agreement among them, and too few data exist in the literature to allow the systematics of the effect of polymer, photoacid generator, added base or other resist components on the diffusion process to be understood. We describe in this article a combined experimental and modeling protocol that is designed to elucidate the chemistry and physics of the reaction-diffusion process. Because it is physically based, not phenomenological, it provides a means of developing a set of predictive, mutually comparable data that will allow new insights to be developed into the nanoscale behav...

Journal ArticleDOI
TL;DR: In this paper, the relation between SiO2 etch rates and incident fluxes of reactive species in a dual-frequency (27 MHz and 800 kHz) parallel-plate system was evaluated by using various in situ measurements tools.
Abstract: The relation between SiO2 etch rates and incident fluxes of reactive species in a dual-frequency (27 MHz and 800 kHz) parallel-plate system was evaluated by using various in situ measurements tools. C4F8/Ar/O2 was used for etching gases. The steady-state thickness TC–F of a fluorocarbon polymer layer on the etched SiO2 surface was also measured. The SiO2 etch rate could be related to total F atom flux ΓF-total, which depends on both the incident fluxes of C–F reactive species and the surface reaction probability s. The s is a function of the net energy on the reactive layer (Vnet). This energy is determined by the incident ion energy and the energy loss at the C–F polymer on the etched surface. A change in Vnet from 500 to 1450 V was estimated to correspond to a change in s from 0.01 to 0.1. The steady-state thickness of the C–F polymer TC–F increased when excess C–F species were supplied to the etched surface. A thick polymer (TC–F>1 nm) decreases the ion energy and slows or stops the etching in fine hol...

Journal ArticleDOI
TL;DR: In this article, a round-robin study was performed on a series of SiO2 films ranging from 0.3 to 20 nm in order to evaluate the advantages and disadvantages of five commonly used analytical techniques.
Abstract: As the Rp of ion implants steadily decreases an ever-increasing percentage of the implant species lies in the oxide layer and is, therefore, not electrically active. For this reason, it is important to have analytical techniques capable of accurately measuring the thickness of ultrathin oxide layers. A round-robin study was performed on a series of SiO2 films ranging from 0.3 to 20 nm in order to evaluate the advantages and disadvantages of five commonly used analytical techniques. High-resolution cross-section transmission electron microscopy (TEM) offers the only true measurement of oxide thickness because no density assumptions are made. In this study, TEM is used as the standard for all the other techniques. X-ray photoelectron spectroscopy and Auger electron spectroscopy offer precise measurements for ultrathin ( 15 nm) due to the exponential decay functions that describe the sampling depth in both techniques. Secondary ion mass spectrometry (SIMS) has...

Journal ArticleDOI
TL;DR: In this paper, the critical aspect ratio of collapse (CARC) as a function of spacing between resist structures was determined for photoresist features with linewidths from 80 to 200 nm, spacing from 100 to 350 nm, and aspect ratios from 2 to 6 for four different resist platforms: acrylic based resist, acrylic resists based upon the Fujitsu platform, cyclo-olefin-maleic anhydride based resists, and Apex E, a poly(hydroxystyrene) based resist.
Abstract: A comparison of collapse behavior was made for photoresist features with linewidths from 80 to 200 nm, spacing from 100 to 350 nm, and aspect ratios from 2 to 6 for four different resist platforms: acrylic based resists, acrylic resists based upon the Fujitsu platform, cyclo-olefin–maleic anhydride based resists, and Apex E, a poly(hydroxystyrene) based resist. The percentage of collapse for different gratings was determined using top-down scanning electron microscope images. A methodology was introduced to compare collapse properties between platforms by determining the critical aspect ratio of collapse (CARC) as a function of spacing between resist structures. We demonstrated the validity of this approach using an extensive set of statistically significant data for Apex E, and we determined that the CARC decreased linearly as the spacing between lines of resist decreased. The physical origin of the approach was discussed in terms of the mechanics of beam bending and the thermodynamics of surface tension. The methodology was applied to limited data sets for other resist platforms. The four resist platforms exhibited different values of CARC at constant spacing and a different dependence of CARC as a function of spacing. Resist performance in terms of collapse properties was ranked in the following order: cyclo-olefin–maleic anhydride resists > poly(hydroxystyrene) resist and acrylic resists based on the Fujitsu platform > acrylic resists.

Journal ArticleDOI
TL;DR: In this paper, two methods of supercritical resist drying (SRD) using CO2 have been developed for water-rinsed resist patterns, and the key to their effectiveness is the use of a surfactant, which compensates for the poor miscibility of water in a solution of n-hexane and sorbitan fatty acid ether.
Abstract: Two methods of supercritical resist drying (SRD) using CO2 have been developed for water-rinsed resist patterns. The key to their effectiveness is the use of a surfactant. In indirect SRD, a solution of n-hexane, a CO2-philic liquid, and a surfactant, sorbitan fatty acid ether, first replaces the water, and is in turn replaced with liquid CO2 before SRD is performed. The addition of a compound with a high hydrophilic-lipophilic balance to the surfactant compensates for the poor miscibility of water in a solution of n-hexane and sorbitan fatty acid ether. In direct SRD, which does not require a CO2-philic liquid, the water is replaced directly with liquid CO2 containing a surfactant, fluoroether carboxylate, which makes water miscible in CO2; and then SRD is performed. The excellent results obtained by both methods demonstrate that there is no inherent barrier to the use of SRD on water-rinsed resist patterns.

Journal ArticleDOI
TL;DR: In this paper, an experimental approach has been developed to control the formation of self-assembled islands, where a lithographically defined mesa lattice on the surface was used to control growth kinetics and island nucleation.
Abstract: An experimental approach has been developed to control the formation of InAs self-assembled islands A lithographically defined mesa lattice on the surface was used to control the growth kinetics and island nucleation Two distinct island formation regimes were observed from InAs islands grown on patterned GaAs (100) substrates In the case of direct growth on patterned substrates, a type I islanding was observed, in which all the islands formed between mesas Incorporating a stressor layer into the regrowth on the patterned substrate yielded a type island nucleation, where all the islands nucleated on top of the mesas The possible mechanisms involved in the long range ordering and positioning of islands are discussed

Journal ArticleDOI
TL;DR: In this article, the effects of a bismuth surfactant layer on the molecular beam epitaxy of GaAs and InxGa1−xAs layers on GaAs (001) were studied.
Abstract: The effects of a bismuth surfactant layer on the molecular beam epitaxy of GaAs and InxGa1−xAs layers on GaAs (001) were studied. The InxGa1−xAs surface reconstruction changed from arsenic stabilized 2×4 to bismuth stabilized 1×3 for high enough bismuth fluxes and low enough substrate temperatures. Maintaining a bismuth stabilized surface during InxGa1−xAs growth resulted in a larger number of reflection high-energy electron diffraction (RHEED) oscillations. RHEED patterns were also streakier after InxGa1−xAs growth with Bi. Roughness measurements using atomic force microscopy showed reduced root mean square roughness with Bi, e.g., from 3.8 to 2.8 nm, for 4 nm thick In0.3Ga0.7As layers. Simulations of x-ray diffraction results from 10 period In0.5Ga0.5As/GaAs superlattices showed that Bi reduced interface roughness from 1.1 to 0.5 nm and reduced interfacial broadening from 2.8 to 2.1 nm. The latter was attributed to reduced In segregation. InxGa1−xAs/GaAs (x=0.2–0.4) multiple quantum wells grown with Bi exhibited photoluminescence peaks that were more intense than those grown without Bi.