scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2001"


Journal ArticleDOI
TL;DR: A resonant frequency-based mass sensor, comprised of low-stress silicon nitride cantilever beams for the detection of Escherichia coli (E. coli)-cell-antibody binding events with detection sensitivity down to a single cell, is presented.
Abstract: The ability to detect small amounts of materials, especially pathogenic bacteria, is important for medical diagnostics and for monitoring the food supply. Engineered micro- and nanomechanical systems can serve as multifunctional, highly sensitive, immunospecific biological detectors. We present a resonant frequency-based mass sensor, comprised of low-stress silicon nitride cantilever beams for the detection of Escherichia coli (E. coli)-cell-antibody binding events with detection sensitivity down to a single cell. The binding events involved the interaction between anti-E. coli O157:H7 antibodies immobilized on a cantilever beam and the O157 antigen present on the surface of pathogenic E. coli O157:H7. Additional mass loading from the specific binding of the E. coli cells was detected by measuring a resonant frequency shift of the micromechanical oscillator. In air, where considerable damping occurs, our device mass sensitivities for a 15 μm and 25 μm long beam were 1.1 Hz/fg and 7.1 Hz/fg, respectively. ...

485 citations


Journal ArticleDOI
TL;DR: In this paper, the shape and structure of nanometer-sized particles are discussed, such as the octahedron and truncated octahedral, the icosahedron, the marks decahedric, the truncated “star-like” decahedral and the regular decahingric.
Abstract: Nanoparticles are a state of matter that has properties different from either molecules or bulk solids. In the present work, we review the shape and structure of nanometer-sized particles; several shapes are discussed, such as the octahedron and truncated octahedron, the icosahedron, the Marks decahedron, the truncated “star-like” decahedron, the rounded decahedron and the regular decahedron. Experimental high-resolution transmission electron microscopy (TEM) images of each type of particle are presented together with the Fast Fourier Transform and a model of the particle. We consider only gold particles grown by vapor deposition or by colloidal methods. High-resolution TEM images of the particles in different orientations are shown. We discuss two basic types of particles uncapped and capped. Data for other metals and semiconductors are reviewed. We have also performed very extensive simulations obtaining the total energy and pair correlation functions for each cluster under study. Furthermore, distributions of single atom energy for every cluster are displayed in order to reveal the effect of surface on the stability of different types and sizes of clusters. We discuss the structure of the particles from ∼1 to ∼100 nm. The mechanisms for stress release as the particles grow larger are reviewed and a mechanism is suggested. Finally, we discuss the parameters that define the shape of a nanoparticle and the possible implications in technological applications.Nanoparticles are a state of matter that has properties different from either molecules or bulk solids. In the present work, we review the shape and structure of nanometer-sized particles; several shapes are discussed, such as the octahedron and truncated octahedron, the icosahedron, the Marks decahedron, the truncated “star-like” decahedron, the rounded decahedron and the regular decahedron. Experimental high-resolution transmission electron microscopy (TEM) images of each type of particle are presented together with the Fast Fourier Transform and a model of the particle. We consider only gold particles grown by vapor deposition or by colloidal methods. High-resolution TEM images of the particles in different orientations are shown. We discuss two basic types of particles uncapped and capped. Data for other metals and semiconductors are reviewed. We have also performed very extensive simulations obtaining the total energy and pair correlation functions for each cluster under study. Furthermore, distribut...

399 citations


Journal ArticleDOI
TL;DR: A simplified model of this clocking method is presented and its behavior as a molecular shift register is examined and it is shown that the clocking signals in this scheme originate from wires buried below the QCA surface.
Abstract: Quantum-dot cellular automata (QCA) may provide a novel way to bypass the transistor paradigm to form ultrasmall computing elements. In the QCA paradigm information is represented in the charge configuration of a QCA cell, which maps naturally to a binary model. Molecular QCA implementations are being explored where the quantum dots in the cell take the form of redox centers in a molecule. Clocking has proved important in QCA cells synthesized so far. Here we examine a method to clock molecular QCA cells which are assembled at an interface. The clocking signals in this scheme originate from wires buried below the QCA surface. We present a simplified model of this clocking method and examine its behavior as a molecular shift register.

346 citations


Journal ArticleDOI
TL;DR: In this paper, the carrier mobility enhancement of surface channel MOSFETs is studied as a function of channel strain, and the saturation behavior for n- and p-channel devices is compared.
Abstract: Surface channel strained Si metal–oxide–semiconductor field-effect transistors (MOSFETs) are a leading contender for future high performance complementary metal–oxide–semiconductor (CMOS) applications. The carrier mobility enhancement of these devices is studied as a function of channel strain, and the saturation behavior for n- and p-channel devices is compared. Carrier mobility enhancements of up to 1.8 and 1.6 are achieved for n- and p-channel devices, respectively. The process stability of strained Si MOSFETs is also studied, and carrier mobility enhancement is shown to be robust after well implantation and virtual substrate planarization steps. The effects of high-temperature implant activation anneals are also studied. While no misfit dislocation introduction or strain relaxation is observed in these devices, increased interface state densities or alloy scattering due to Ge interdiffusion are shown to decrease mobility enhancements. Channel thickness effects are also examined for strained Si n-MOSFE...

330 citations


Journal ArticleDOI
Abstract: The determination of the energy band gaps of thin-gate insulators has been demonstrated from the onsets of the energy-loss spectra of O 1s (or N 1s) photoelectrons. The valence-band lineups of thin high-dielectric-constant (high-k) dielectrics such as Ta2O5, Al2O3, and ZrO2 formed on metals and Si(100) have also been determined by measuring the energy difference between the valence-band density-of-states curves. The energy band diagrams for metal/high-k dielectrics/Si(100) systems have been derived explicitly from considering the measured band gaps, valence-band lineups, electron affinities, and metal work functions in the systems. It is also demonstrated that total photoelectron yield spectroscopy can be used to quantify the energy distributions of both the defect states in high-k gate dielectrics and at the dielectric/Si(100) interfaces over the entire Si band gap without gate formation.

325 citations


Journal ArticleDOI
TL;DR: In this article, the feasibility of immersion lithography at 157 nm for patterning below 70 nm was investigated and it was shown that this technology can enable an enhancement in resolution of ∼40% without radical changes in lasers, optics, or resist technology.
Abstract: We present a preliminary study on the feasibility of immersion lithography at 157 nm for patterning below 70 nm. This technology can enable an enhancement in resolution of ∼40% without radical changes in lasers, optics, or resist technology. We have identified a class of commercially available liquids, perfluoropolyethers, which are good candidates for use as immersion liquids. They are transparent (α≈10−3 μm−1 base 10), optically clean, chemically inert, and compatible with at least some current resist materials and with the semiconductor manufacturing environment. We have also constructed a high-resolution lensless interference immersion lithography system, preserving much of the design of a previous nonimmersion interference system. With this immersion interference tool, we have patterned resist with 30 nm dense features.

272 citations


PatentDOI
TL;DR: In this article, a new technique for fabricating two-dimensional and three-dimensional fluid microchannels for molecular studies includes fabricating a monolithic unit using planar processing techniques adapted from semiconductor electronics fabrication.
Abstract: A new technique for fabricating two-dimensional and three-dimensional fluid microchannels for molecular studies includes fabricating a monolithic unit using planar processing techniques adapted from semiconductor electronics fabrication. A fluid gap between a floor layer (12) and a ceiling layer (20) is provided by an intermediate patterned sacrificial layer (14) which is removed by a wet chemical etch. The process may be used to produce a structure such as a filter or artificial gel by using Electron beam lithography to define a square array of 100 nm holes (30) in the sacrificial layer. CVD silicon nitride (54) is applied over the sacrificial layer and enters the array of holes to produce closely spaced pillars. The sacrificial layer can be removed with a wet chemical etch through access holes in the ceiling layer, after which the access holes are sealed with VLTO silicon dioxide (64).

249 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigate the process window for forming ordered arrays of nanoscale polymer domains in thin films across 8-in-diam silicon wafers, including the effect of substrate material and surface treatment, annealing conditions, copolymer molecular weight, and film thickness.
Abstract: Thin films of self-organizing diblock copolymers may be suitable for semiconductor applications since they enable patterning of ordered domains with dimensions below photolithographic resolution over wafer-scale areas. We investigate the process window for forming ordered arrays of nanoscale polymer domains in thin films across 8-in.-diam silicon wafers, including the effect of substrate material and surface treatment, annealing conditions, copolymer molecular weight, and film thickness. We also demonstrate pattern transfer of the nanoporous polymer template using both reactive ion etching and metal lift off.

230 citations


Journal ArticleDOI
TL;DR: In this article, the surface passivation process of GaN utilizing SiNx film by electron-cyclotron-resonance assisted plasma chemical vapor deposition (ECR-CVD) achieved low interface state density, 2×1011cm−2'eV−1.
Abstract: Chemical and electrical properties of the surfaces of GaN and GaN/AlGaN heterostructures were systematically investigated by x-ray photoelectron spectroscopy (XPS), capacitance–voltage, and current–voltage measurements. From in situ XPS study, relatively smaller band bending of 0.6 eV was seen at the GaN (2×2) surface grown by radio frequency-assisted molecular beam epitaxy on the metalorganic vapor phase epitaxy GaN template. After exposing the sample surface to air, strong band bending took place at the surface. The surface treatment in NH4OH solution and N2 plasma was found to reduce the surface Fermi level pinning. Surface passivation process of GaN utilizing SiNx film by electron-cyclotron-resonance assisted plasma chemical vapor deposition (ECR–CVD) achieved low interface state density, 2×1011cm−2 eV−1. No pronounced stress remained at the SiNx/GaN interface, which was confirmed by Raman spectroscopy. The present NH4OH/ECR–N2 plasma treatment was also found to be effective in realizing well-ordered ...

156 citations


Journal ArticleDOI
TL;DR: Inspection of an imprint template before and after imprinting revealed that the template actually becomes cleaner with imprinting, and visual inspection of multiple imprints did not reveal any systematic generation or propagation of defects.
Abstract: Step and flash imprint lithography (SFIL) is a promising, low cost alternative to projection printing. This technique has demonstrated very high resolution and overlay alignment capabilities, but it is a contact printing technique so there is concern about defect generation and propagation. A series of experiments has been carried out with the goal of quantifying the effect of defect propagation. To that end, each unit process in SFIL was studied independently. The number of particles added during handling and transportation and due to SFIL machinery was deemed acceptable, and the added particles should not complicate the inspection of process defects. The concept of a “self-cleaning” process in which the imprint template becomes cleaner by imprinting was revisited. Inspection of an imprint template before and after imprinting revealed that the template actually becomes cleaner with imprinting. Visual inspection of multiple imprints did not reveal any systematic generation or propagation of defects. The inspection area used in this study was limited, however, since the inspection was both manual and visual. Imprinting for this defect study was performed at the University of Texas in a Class 10 cleanroom, and inspection was performed at International SEMATECH.

155 citations


Journal ArticleDOI
TL;DR: In this article, the effect of these parameters on linewidth, sidewall angle, and image placement was modeled and the complex interaction among densification, mechanical properties (elastic modulus and Poisson's ratio) and aspect ratio was studied by finite element modeling.
Abstract: Step and flash imprint lithography (SFIL) is an alternative approach to high-resolution patterning based on a bilayer imprint scheme. SFIL utilizes the in situ photopolymerization of an oxygen etch resistant monomer solution in the topography of a template to replicate the template pattern on a substrate. The SFIL replication process can be affected significantly by the densification associated with polymerization and by the mechanical properties of the cured film. The densities of cured photopolymers were determined as a function of pendant group volume. The elastic moduli of several photopolymer samples were calculated based on a Hertzian fit to force–distance data generated by atomic force microscopy. The current SFIL photopolymer formulation undergoes a 9.3% (v/v) densification. The elastic modulus of the SFIL photopolymer is 4 MPa. The densification and the elastic modulus of the photopolymer layer can be tailored from 4% to 16%, and from 2 to 30 MPa, respectively, by changing the structure of the photopolymer precursors and their formulation. The complex interaction among densification, mechanical properties (elastic modulus and Poisson’s ratio) and aspect ratio (height:width) was studied by finite element modeling. The effect of these parameters on linewidth, sidewall angle, and image placement was modeled. The results indicate that the majority of densification occurs by shrinkage in the direction normal to the substrate surface and that Poisson’s ratio plays a critical role in defining the shape of the replicated features. Over the range of material properties that were determined experimentally, volumetric contraction of the photopolymer is not predicted to adversely affect either pattern placement or sidewall angle.

Journal ArticleDOI
TL;DR: In this paper, sheet resistance, x-ray diffraction and transmission electron microscopy were used to study Ni-silicide phase formation with and without a Ti capping layer.
Abstract: Ni-silicide phase formation with and without a Ti capping layer was studied by sheet resistance, x-ray diffraction and transmission electron microscopy. Ni monosilicide is found to be the stable phase in a temperature range from 400 to 600 °C. At lower temperatures the Ni2Si phase is found to be present. For temperatures higher than 700 °C NiSi is converted into NiSi2. Pyramidal NiSi2 precipitates were found to grow epitaxially along the Si〈111〉 planes for annealing temperatures as low as 310 °C. The epitaxial NiSi2 grains were found to disappear when the annealing temperature is increased. Stress buildup during Ni silicidation was measured in situ and could be correlated to the formation of the different Ni-silicide phases. The stress induced by Ni-monosilicide formation compares favorably to the stress induced by Co disilicide and Ti disilicide. The average silicon consumption required to obtain a certain sheet resistance was found to be 35% lower for Ni monosilicide compared for Co disilicide. It was f...

Journal ArticleDOI
TL;DR: In this article, numerical simulations and experimental studies are carried out to understand the deformation process of thin polymer film in nano-imprint lithography, and the areal penetration ratio of the polymer into the recessed groove of the mold and residual thickness underneath the mold are quantitatively evaluated.
Abstract: Numerical simulations and experimental studies are carried out to understand the deformation process of thin polymer film in nanoimprint lithography. Deformation of a thin polymer above its glass transition temperature is studied for various imprinting conditions such as the aspect ratios of a mold pattern, initial thickness of the polymer, and imprinting pressure. Cross-sectional profiles of the deformed polymers are simulated by the finite element method based on a rubber elastic model. The results are compared with experimental data. The areal penetration ratio of the polymer into the recessed groove of the mold and residual thickness underneath the mold are quantitatively evaluated. The simulations and the experimental results agree well with each other.

Journal ArticleDOI
TL;DR: In this article, a heat-depolymerizable polycarbonate (HDP) was used as a sacrificial layer in fabricating nanofluidic devices by electron beam lithography.
Abstract: This research investigates a heat-depolymerizable polycarbonate (HDP) for use as a sacrificial layer in fabricating nanofluidic devices by electron beam lithography. When solid HDP films are heated to 300 °C, the monomer units separate into a nontoxic vapor. This property suggests that a patterned HDP film may be used as a temporary support for another film which is stable at the depolymerization temperature. Heating the structure removes the HDP, leaving a network of nanofluidic tubes without the use of solvents or other chemicals as required in most other sacrificial layer processes. We found that HDP films may be patterned directly by electron beam lithography, followed by immersion in isopropanol to remove HDP from the exposed areas. The patterns are then sputter coated with silicon dioxide at low temperature, provided with access holes for venting, heated to clear out HDP remaining inside the tubes, and injected with fluorescent dye for observation. Tube dimensions of 140 nm height, 1 μm width, and 1...

Journal ArticleDOI
TL;DR: In this article, the silicon-cerium oxide interface was studied using x-ray photoelectron spectroscopy and the oxidation and reduction of species at the interface were examined as a function of annealing temperature both in vacuum and oxygen ambient, in order to determine their relative stabilities.
Abstract: The silicon-cerium oxide interface is studied using x-ray photoelectron spectroscopy. The oxidation and reduction of species at the interface are examined as a function of annealing temperature both in vacuum and oxygen ambient, in order to determine their relative stabilities. By depositing a very thin CeO2 film (similar to 30 Angstrom), the cerium and silicon core level peaks can be monitored simultaneously. The presence of characteristic chemical shifts of the Si 2p peak gives information about any SiOx, layer that may form at the interface. The oxidation state of the cerium can be probed from three different areas of the spectrum. From this information we can infer the oxidation state of both the silicon and the cerium. For the first time a complete picture of the interface is obtained. The implications of these findings on the utility of CeO2 in device applications are discussed.

Journal ArticleDOI
TL;DR: In this article, a quantitative approach for the Bosch deep silicon dry etch process with SF6-C4F8 was developed, where essential plasma surface interactions and transport properties of ions and radicals in high aspect ratio structures were unravelled.
Abstract: For the Bosch deep silicon dry etch process with SF6–C4F8 a quantitative approach is developed. Essential plasma surface interactions and the transport properties of ions and radicals in high aspect ratio structures are unravelled. Balancing the interactions during etching and passivation pulses is essential for maximal profile control. In the anisotropic regime the etch rate is aspect ratio dependent largely due to depletion of fluorine radicals and with some involvement of passivation polymer redeposition. The anisotropic process tends to stop at a limiting aspect ratio because of improper removal of polymer passivation at the trench bottom. Both higher ion flux and ion energy are found to be crucial to push the Bosch process to higher achievable aspect ratios. Practical process implications are discussed. In situ ellipsometry shows that the polymer passivation step is a complex process with an ion induced component. More efficient removal of the passivation layer at the trench bottom by adjusting the p...

Journal ArticleDOI
TL;DR: In this article, the mechanism of interaction of the H2 plasma with this layer depends on temperature and the hydrogen diffuses through the oxide and hydrogenation of the Cu layer is observed.
Abstract: When a Cu surface is exposed to a clean room ambient, a surface layer containing Cu2O, CuO, Cu(OH)2, and CuCO3 is formed. Thermal treatment in a vacuum combined with hydrogen plasma can remove this layer. Water and carbon dioxide desorb during the thermal treatment and the hydrogen plasma reduces the remaining Cu oxide. Ellipsometric, x-ray photoelectron spectroscopy, and time-of-flight secondary ion mass spectroscopy analyses indicate that the mechanism of interaction of the H2 plasma with this layer depends on temperature. When the temperature is below 150 °C, H2 plasma cannot completely reduce Cu oxide. Hydrogen diffuses through the oxide and hydrogenation of the Cu layer is observed. The hydrogenated Cu surface has a higher resistance than a nontreated Cu layer. The hydrogen plasma efficiently cleans the Cu surface when the substrate temperature is higher than 150 °C. In this case, hydrogen atoms have enough activation energy to reduce Cu oxide and adsorbed water forms as a byproduct of Cu oxide reduc...

Journal ArticleDOI
TL;DR: In this article, Nanochannel arrays with an ideally ordered hole configuration with a 63 nm hole periodicity and 15-40 nm hole diameter were fabricated using anodization of the pretextured Al in sulfuric acid solution.
Abstract: Nanochannel arrays with an ideally ordered hole configuration with a 63 nm hole periodicity and 15–40 nm hole diameter were fabricated using anodization of the pretextured Al in sulfuric acid solution. The SiC mold with an array of convexes, which was prepared by electron beam lithography, was used for the nanoindentation of the Al. The periodicity of convexes was adjusted to the self-organized periodicity in sulfuric acid solution. The obtained concaves on Al initiated the hole development during the anodization and generated the ideally ordered hole configuration with a 63 nm period. Under the appropriate anodization conditions, anodic porous alumina with an aspect ratio of over 20 was obtained.

Journal ArticleDOI
TL;DR: In this article, the surface roughness on the micrometer scale is characterized by the Wenzel ratio with previously unattainable precision based on well-defined Ge nanopyramids naturally grown on Si(001) using ultrahigh vacuum chemical vapor deposition.
Abstract: Besides surface chemistry, the surface roughness on the micrometer scale is known to dominate the wetting behavior and the biocompatiblity properties of solid-state materials. The significance of topographic features with nanometer size, however, has yet to be demonstrated. Our approach is based on well-defined Ge nanopyramids naturally grown on Si(001) using ultrahigh vacuum chemical vapor deposition, where the nanopyramid density can be precisely controlled by the growth conditions. Since the geometry of the nanopyramids, often termed dome clusters, is known, the surface roughness can be characterized by the Wenzel ratio with previously unattainable precision. Dynamic contact-angle measurements and adsorption of γ-globulin as a function of that ratio demonstrate the strong correlation between surface nanoarchitecture, on one hand, and wetting behavior and biocompatibility, on the other hand. Related x-ray photoelectron spectroscopy measurements reveal that potential changes of surface composition can be definitely excluded.

Journal ArticleDOI
TL;DR: The Young's modulus of diamond-like carbon (DLC) pillars was measured by means of mechanical vibration using scanning electron microscopy as mentioned in this paper, which showed that there was a balance between the DLC growth rate and surface bombardment by the ions, and this played an important role in the stiffness of the pillars.
Abstract: The Young’s modulus of diamond-like carbon (DLC) pillars was measured by means of mechanical vibration using scanning electron microscopy. The DLC pillars were grown using Ga+ focused ion beam-induced chemical vapor deposition with a precursor of phenanthrene vapor. The Young’s modulus of the DLC pillars was around 100 GPa at vapor pressure of 5×10−5 Pa and it had a quality (Q) value of resonance exceeding 1200. There seemed to be a balance between the DLC growth rate and surface bombardment by the ions, and this played an important role in the stiffness of the pillars. Some of the DLC pillars showed a very large Young’s modulus over 600 GPa at low gas pressure conditions.

Journal ArticleDOI
TL;DR: In this paper, the authors report the results of an experimental study of the correlations between line edge roughness and aerial image contrast for different lithographies in identical processing conditions, using atomic force microscopy carbon nanotube tips to image the top and bottom of trenches with very high resolution.
Abstract: We report the results of an experimental study of the correlations between line edge roughness (LER) and aerial image contrast for different lithographies in identical processing conditions. The characterization has been performed using atomic force microscopy carbon nanotube tips to image the top and bottom of trenches with very high resolution. Experimental results generally support that higher aerial image contrast leads to lower line edge roughness, but differences exist among the lithographies and resists. Top surface roughness results show similar trends with LER. Higher aerial image modulation also yields higher resist sidewall angle.

Journal ArticleDOI
TL;DR: In this paper, focused ion beam (FIB) instruments for device modification and specimen preparation has become a mainstay in the microelectronics industry and in thin-film characterization, and the role of FIB as a tool to rapidly prepare high quality transmission electron microscopy specimens is particularly significant.
Abstract: The use of focused ion beam (FIB) instruments for device modification and specimen preparation has become a mainstay in the microelectronics industry and in thin film characterization. The role of the FIB as a tool to rapidly prepare high quality transmission electron microscopy specimens is particularly significant. Special attention has been given to FIB milling of Cu and Si in the microelectronics arena. Although FIB applications involving Si have been extremely successful, it has been noted that Cu tends to present significant challenges to FIB milling because of effects such as the development of milling induced topographical features. We show evidence that links the occurrence of milling induced topography to the severity of redeposition. Specifically, Cu, which sputters ∼2.5 times faster than Si, exhibits an increased susceptibility to redeposition related artifacts. In addition, the effects and the mechanism of Ga+ channeling in Cu is used to illustrate that Ga+ channeling reduces the sputtering yield, improves the quality of FIB mill cuts, and improves the surface characteristics of FIB milled Cu. Finally, a technique for improving FIB milling across grain boundaries or interfaces using ion channeling contrast is suggested.

Journal ArticleDOI
TL;DR: In this paper, ZrO2 films were deposited on p-Si(100) wafers by a rapid thermal chemical vapor deposition process using a zirconium (IV) t-butoxide Zr(OC4H9)4 precursor and oxygen.
Abstract: ZrO2 is investigated in this work to replace SiO2 as the gate dielectric material in metal-oxide-semiconductor devices for its high dielectric constant, good thermal stability on silicon, and large band gap. ZrO2 films were deposited on p-Si(100) wafers by a rapid thermal chemical vapor deposition process using a zirconium (IV) t-butoxide Zr(OC4H9)4 precursor and oxygen. At temperatures between 300 and 400 °C, the reaction was thermally activated with an activation energy of 29 kcal/mol, consistent with a β-hydride elimination mechanism leading to ZrO2 deposition. In this regime at substrate temperatures below 350 °C, one atomic layer of ZrO2 can be deposited after each alternating exposure to the precursor and oxygen, ideal for achieving conformal coverage of ZrO2 over high aspect ratio features. Stoichiometric, uniform, and amorphous ZrO2 was obtained, and highly conformal step coverage of the deposited ZrO2 was observed on 300 nm features with an aspect ratio of 4. The dielectric constant of ZrO2 achie...

Journal ArticleDOI
TL;DR: In this article, the effective sputter yield of silicon structures was determined as a function of the ion dose, and the shape of nanostructures was further determined by combining the beam shape and the angle dependence of the sputter yields.
Abstract: In this article, some limitations of the processing of structures with dimensions in the nanometer range by focused ion beams will be discussed. In order to enable exact depth control of nanometer structures, the effective sputter yield of silicon was determined as function of the ion dose. At ion doses below 1016 cm−2, the effective sputter yield is not constant and the volume of the area processed increases due to the implantation of ions. Material removal can be measured for doses above 2×1016 cm−2 and it reaches equilibrium for doses of about 3×1017 cm−2. This dose dependence of the effective sputter yield becomes especially effective in beam tail regions with low ion intensity. The shape of nanostructures is further determined by combining the beam shape and the angle dependence of the sputter yield which was experimentally determined. Using this approach with a Gaussian beam shape, a comparison of simulated and measured sidewall angles has shown good agreement for trench structures. Only sidewall regions close to the surface and to the bottom of deep structures show slight deviations. At the surface, non-Gaussian beam tails lead to unintentional sputtering at the corners of the processed area. At the bottom, forward scattered ions lead to higher sputter erosion.

Journal ArticleDOI
TL;DR: In this article, the structural and electrical properties of a thermally grown gallium oxide on GaN films were investigated, and the oxide charge density was calculated to be 6.77×1011 cm−2.
Abstract: The structural and electrical characteristics of a thermally grown gallium oxide on GaN films were investigated. A furnace oxidation at 850 °C for 12 h resulted in the formation of monoclinic β-Ga2O3, 88 nm in thickness. From the I–V measurements of the metal–oxide–semiconductor (MOS) structure, the breakdown field strength (EBD) was found to be 3.85±0.32 MV cm−1. Under gate voltage sweep, C–V hysteresis was observed due to the oxide charge trap. By comparing the ideal and experimental C–V curves, the oxide charge density (Nf) was calculated to be 6.77×1011 cm−2. These results suggest that the thermally grown Ga2O3 is suitable for gate dielectric applications of power MOS field-effect transistors.

Journal ArticleDOI
TL;DR: In this article, low energy electron-excited nano-luminescence (LEEN) spectroscopy provides electronic band gap, confined state, and deep level trap information from semiconductor surfaces and interfaces on a nanometer scale.
Abstract: Low energy electron-excited nano-luminescence (LEEN) spectroscopy provides electronic band gap, confined state, and deep level trap information from semiconductor surfaces and interfaces on a nanometer scale. Correlation of luminescence features with their spatial location inside a growth structure—either depth wise or laterally—also provides information on the physical origin and growth dependence of the electronically active defects that form. LEEN spectroscopy of localized states illustrates this approach for a representative set of III–V nitride interfaces, including metal-GaN Schottky barriers, GaN/InGaN quantum wells, GaN ultrathin films, AlGaN/GaN pseudomorphic heterostructures across a single growth wafer, and GaN/Al2O3 interfaces. In each case, electronic properties are sensitive to the chemical composition, bonding, and atomic structures near interfaces and in turn to the specifics of the growth process.

Journal ArticleDOI
TL;DR: In this paper, a spin on glass (SOG) instead of PMMA was used to avoid thermal expansion and demonstrate SOG patterns with 200 nm linewidths at room temperature replications using the NIL system.
Abstract: A compact nanoimprint lithography (NIL) system using the driving power of a stepping motor has been developed. Compared to a conventional NIL system with a hydraulic press, there are some additional features of the NIL system such as compactness and low cost. We propose the use of spin on glass (SOG) instead of PMMA to avoid thermal expansion and demonstrate SOG patterns with 200 nm linewidths at room temperature replications using the NIL system. The SOG patterns were transferred to gold metal using liftoff and to a silicon substrate by reactive ion etching.

Journal ArticleDOI
TL;DR: In this paper, a highly ordered monolayer film of alkanethiol-encapsulated gold nanoparticles was fabricated on a silicon substrate by using the Langmuir-Blodgett (LB) method.
Abstract: A highly ordered monolayer film of alkanethiol-encapsulated gold nanoparticles was fabricated on a silicon substrate by using the Langmuir–Blodgett (LB) method. The effects on the particle order, of the particle concentration and the type of solvent of the LB spreading suspension of encapsulated gold particles, were studied. We found that a low particle concentration of 0.06–0.3 mg/mL in chloroform is optimal for the fabrication of high quality gold particle monolayers. Since the proposed method is not restricted to gold particles, it is believed to be a practical process for fabricating quantum dot structures of various particle sizes and compositions.

Journal ArticleDOI
TL;DR: In this paper, the dielectric constant of ZrO2 achieved in this work is 15-18 with very small capacitance-voltage hysteresis, ideal for metaloxide-semiconductor field effect transistor (MOSFET) application.
Abstract: ZrO2 films were deposited on Si(100) wafers by the rapid thermal chemical vapor deposition process using a zirconium (IV) t-butoxide Zr(OC4H9)4 precursor and oxygen. Interfacial zirconium silicate formation was observed by high resolution transmission electron microscopy and medium energy ion scattering. The intermixing of the interface can be suppressed by forming a thin silicon nitride layer on the silicon substrate prior to ZrO2 deposition. The dielectric constant of ZrO2 achieved in this work is 15–18 with very small capacitance–voltage hysteresis, ideal for metal–oxide–semiconductor field effect transistor (MOSFET) application. The NMOSFET device has good turn-on characteristics, however, the transconductance is lower than expected due to the incomplete removal of zirconium silicate at the source and drain contacts and poses integration challenges to use ZrO2 as the gate dielectric material.

Journal ArticleDOI
TL;DR: In this article, the growth of the GaP nanowires can be described by an oxide-assisted mechanism involving several oxidation-reduction reactions, which is beneficial for further exploration of their fundamental properties and applications.
Abstract: Gallium phosphide (GaP) nanowires of 22 nm in diameter and hundreds micrometers in length were synthesized by laser ablation of a powder mixture of GaP and gallium oxide (Ga2O3). The morphology and microstructure of GaP nanowires were investigated by transmission electron microscopy. Twins and stacking faults were observed on {111} planes of the GaP nanowires with special morphologies, and the formation of these defects was discussed. The growth of the GaP nanowires can be described by an oxide-assisted mechanism involving several oxidation-reduction reactions. The successful synthesis of GaP nanowires without any metallic impurities is beneficial for further exploration of their fundamental properties and applications.