scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2003"


Journal ArticleDOI
TL;DR: In this paper, the effects of plasma chemistries on etch characteristics and plasma-induced damage to the optical properties of ZnO films have been studied using inductively coupled plasmas.
Abstract: To study the effects of plasma chemistries on etch characteristics and plasma-induced damage to the optical properties, dry etching of ZnO films has been carried out using inductively coupled plasmas of Cl2/Ar, Cl2/H2/Ar, and CH4/H2/Ar. The CH4/H2/Ar chemistry showed a faster etch rate and a better surface morphology than the Cl2-based chemistries. Etched samples in all chemistries showed a substantial decrease in the PL intensity of band-edge luminescence mainly due to the plasma-induced damage. The CH4/H2/Ar chemistry showed the least degradation of the optical properties.

1,036 citations


Journal ArticleDOI
TL;DR: A review of the current research efforts in ALD for metal and nitride films as well as their applications in modern semiconductor device fabrication can be found in this paper, where the authors provide a deeper understanding about the underlying deposition process and the physical and electrical properties of the deposited films.
Abstract: Atomic layer deposition (ALD) has been studied for several decades now, but the interest in ALD of metal and nitride thin films has increased only recently, driven by the need for highly conformal nanoscale thin films in modern semiconductor device manufacturing technology. ALD is a very promising deposition technique with the ability to produce thin films with excellent conformality and compositional control with atomic scale dimensions. However, the applications of metals and nitrides ALD in semiconductor device processes require a deeper understanding about the underlying deposition process as well as the physical and electrical properties of the deposited films. This article reviews the current research efforts in ALD for metal and nitride films as well as their applications in modern semiconductor device fabrication.

534 citations


Journal ArticleDOI
TL;DR: A review of mechanisms of adhesion and stiction, various measurement techniques, and methods used to reduce stiction in magnetic storage devices and micro/nanoelectromechanical systems can be found in this paper.
Abstract: Solid–solid adhesion occurs at contacting asperities in two contacting solids. A thin liquid film with a small contact angle, present at the interface, can result in the so-called liquid-mediated adhesion. This may result in high adhesion during normal pull and high static friction during sliding, both commonly referred to as “stiction.” The problem of high stiction is especially important in an interface involving two very smooth surfaces under lightly loaded conditions. This article provides a critical and comprehensive review of mechanisms of adhesion and stiction, various measurement techniques, and methods used to reduce stiction in magnetic storage devices and micro/nanoelectromechanical systems.

459 citations


Journal ArticleDOI
Zhaoning Yu1, He Gao1, Wei Wu1, Haixiong Ge1, Stephen Y. Chou1 
TL;DR: In this article, the authors report on the fabrication of subwavelength antireflection structures on silicon substrates using a trilayer resist nanoimprint lithography and liftoff process.
Abstract: In this article we report on the fabrication of subwavelength antireflection structures on silicon substrates using a trilayer resist nanoimprint lithography and liftoff process. We have fabricated cone-shaped nanoscale silicon pillars with a continuous effective index gradient, which greatly enhances its antireflective performances. Our measurements show that the two-dimensional subwavelength structure effectively suppresses surface reflection over a wide spectral bandwidth and a large field of view. A reflectivity of 0.3% was measured at 632.8 nm wavelength, which is less than 1% of the flat silicon surface reflectivity.

241 citations


Journal ArticleDOI
TL;DR: In this article, the effects of plasma processing, formation of Si-based dielectrics, and formation of a thin Al2O3 film on the chemical and electronic properties of GaN and GaN/AlGaN heterostructure surfaces were systematically investigated.
Abstract: We have systematically investigated effects of plasma processing, formation of Si-based dielectrics, and formation of a thin Al2O3 film on the chemical and electronic properties of GaN and GaN/AlGaN heterostructure surfaces. The surface treatment in H2-plasma excited by electron-cyclotron-resonance (ECR) source, produced nitrogen-vacancy-related defect levels at GaN and AlGaN surfaces, while the ECR-N2-plasma treatment improved electronic properties of the surfaces. The deposition of a SiO2 film on GaN and AlGaN surfaces was found to induce high-density interface states, due to unexpected and uncontrollable oxidation reactions on the surfaces during the deposition process. In comparison, the SiNx/GaN passivation structure prepared by ECR-plasma assisted chemical vapor deposition showed good interface properties with the minimum Dit value of 1×1011 cm−2 eV−1. However, excess leakage currents governed by Fowler–Nordheim tunneling were observed in the SiNx/Al0.3Ga0.7N structure, due to a relatively small con...

210 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the mechanisms of drain current collapse and gate leakage currents in the AlGaN/GaN heterostructure field effect transistor (HFET), and detailed electrical properties of the ungated and Schottky-gated portion of the device were investigated separately.
Abstract: In order to clarify the mechanisms of drain current collapse and gate leakage currents in the AlGaN/GaN heterostructure field effect transistor (HFET), detailed electrical properties of the ungated portion and Schottky-gated portion of the device were investigated separately, using a gateless HFET structure and an AlGaN Schottky diode structure. The gateless device was subjected to plasma treatments and surface passivation processes including our novel Al2O3-based surface passivation. dc I–V curves of gateless HFETs were highly nonlinear due to virtual gating by surface states. After drain stress, air-exposed, H2 plasma-treated and SiO2-deposited gateless HFETs showed an initial large-amplitude exponential current transient followed by a subsequent smaller, slow, and highly nonexponential response. The former was explained by emission from deep donors at Ec−0.37 eV, and the latter by emission from surface states. Capture transients with stress-dependent capture barriers were also observed. An x-ray photoe...

209 citations


Journal ArticleDOI
TL;DR: In this article, an extensive study of parameters pertinent to electron beam lithography with hydrogen silsesquioxane as a negative tone electron beam resist is presented, with a developer concentration of 25% tetramethyl ammonium hydroxide and a baking temperature of 90°C.
Abstract: An extensive study of parameters pertinent to electron beam lithography with hydrogen silsesquioxane as a negative tone electron beam resist is presented. With higher developer concentrations contrast and reproducibility are improved significantly at the expense of lower sensitivity. In a similar way extended delays between the baking and exposure degrade the sensitivity but increase the contrast. In contrast, at higher baking temperatures the sensitivity is improved but the contrast and reproducibility deteriorate. These results are discussed within a microscopic model. Contrast values as high as 10 and good reproducibility have been obtained with a developer concentration of 25% tetramethyl ammonium hydroxide and a baking temperature of 90 °C. With these optimal parameters an experimental lithographic pattern of 50 nm lines and spaces could be resolved in 220 nm thick HSQ resist film exposed at 50 keV.

165 citations


Journal ArticleDOI
TL;DR: In this paper, the Fowler-Nordheim (FN) equation for field emission is examined using pedagogical models to introduce and illuminate its origins, limitations, extensions, and application to multidimensional structures.
Abstract: In this article, we examine the Fowler–Nordheim (FN) equation for field emission using pedagogical models to introduce and illuminate its origins, limitations, extensions, and application to multidimensional structures. The analyses of modern electron sources generally invoke either the FN equation or the Richardson–Laue–Dushman equation (thermionic emission) to interpret experimental data. These equations have ranges of validity that are increasingly challenged by operating conditions. The present article shall therefore have several aims. An introduction to and review of the FN equation shall be presented. Extensions to account for many body and other effects, shall be motivated by accessible models, and a generalized thermal-field emission methodology developed to account for low work function, high fields, photoexcitation, and other conditions in which the incident electron energy is near the barrier maximum. An account of effects such as resonance, which are not generally part of the standard emission lexicon, is given. Finally, specialized topics using the aforementioned analyses shall be examined, e.g., multidimensionality, the statistical nature of emission site variation, and so on. The analyses shall be predicated on simple models in an effort to provide formulae of general utility such that computational requirements are minimized.

164 citations


Journal ArticleDOI
TL;DR: In this paper, the fracture defect of the polymer in thermal nanoimprint lithography is studied based on numerical simulation and experiments, and the results show successful fabrication of fine patterns with a high aspect ratio.
Abstract: The fracture defect of the polymer in thermal nanoimprint lithography is studied based on numerical simulation and experiments. Hot pressing, cooling, and releasing steps in nanoimprint lithography are investigated in detail by a numerical simulation study. The applied pressure after the polymer deformation below the glass transition temperature will induce a stress concentration at the corner of the polymer pattern. On the other hand, the difference of the thermal expansion coefficients between the mold and the substrate causes lateral strain, and the strain is concentrated at the corner of the pattern. These strains induce defects and cause fracture defects at the base part of the pattern during the mold releasing step. To eliminate the defects, the applied pressure is released below the glass transition temperature, and slow cooling is introduced to relax the stress concentration. The result shows successful fabrication of fine patterns with a high aspect ratio.

161 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe parallel processes for nanometer pattern generation on a wafer scale with resolution comparable to the best electron beam lithography, and demonstrate a method called iterative spacer lithography (ISL), in which the process is repeated multiple times with alternating materials in order to multiply the pattern density.
Abstract: We describe parallel processes for nanometer pattern generation on a wafer scale with resolution comparable to the best electron beam lithography. Sub-10 nm linewidth is defined by a sacrificial ultrathin film deposited by low pressure chemical vapor deposition (LPCVD), in a process similar to formation of gate sidewall spacers in CMOS processing. We further demonstrate a method called iterative spacer lithography (ISL), in which the process is repeated multiple times with alternating materials in order to multiply the pattern density. Silicon structures with sub-10 nm width fabricated by this process were used as a mold in nanoimprint lithography and lift-off patterning of sub-30 nm platinum nanowires for use in experiments on chemical catalysis. We also demonstrate a similar process called reversed spacer lithography (RSL) to form sub-10 nm fluid channels in poly-Si. This nanogap fluid channel device was used for label-free detection of DNA hybridization based on electrical sensing of dielectric changes...

145 citations


Journal ArticleDOI
TL;DR: The escalating cost for next generation lithography (NGL) tools is driven in part by the need for complex sources and optics, and several researchers are looking at low cost alternative methods for printing sub-100 nm features.
Abstract: The escalating cost for next generation lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990’s, several research groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Colburn et al. [Proc. SPIE 379 (1999)] discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as step and flash imprint lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of the wafer and template. ...

Journal ArticleDOI
TL;DR: In this article, the electrostatic potential of a metallic probe tip near a semiconductor was examined, assuming circular symmetry and using prolate spheroidal coordinates in vacuum and Cartesian coordinates in the semiconductor.
Abstract: The electrostatic potential resulting from a metallic probe tip near a semiconductor is examined. A solution is formulated assuming circular symmetry and using prolate spheroidal coordinates in the vacuum and Cartesian coordinates in the semiconductor. The result is most directly applied to the case of a hyperbolic probe tip, but other shapes (for example, a small hemispherical protrusion on the tip apex) can also be handled. Numerical results are given for representative cases that might be encountered in scanning probe microscopy.

Journal ArticleDOI
TL;DR: In this paper, a 4 in. imprint master with silicon technology was developed, which allows imprint pressures as low as 5 kN/cm2 for direct imprint on aluminum, and the authors were able to reduce the interpore distance of the pore array to 60% of the lattice constant of the master stamp.
Abstract: Large-area monodomain porous alumina arrays with an interpore distance of 500 nm are fabricated by imprint lithography. A 4 in. imprint master fully compatible with silicon technology was developed, which allows imprint pressures as low as 5 kN/cm2 for direct imprint on aluminum. Due to the self-ordering phenomenon of porous alumina growth, we were able to reduce the interpore distance of the pore array to 60% of the lattice constant of the master stamp. Three lithographically defined pores are sufficient to guide anodization of a new pore in the center.

Journal ArticleDOI
TL;DR: In this paper, the column competition effects inherent within aperiodic GLAD films can be delayed in periodic films due to the tendency of the film to achieve a preferred density at a given flux incidence angle.
Abstract: It has been shown that glancing angle deposition (GLAD) coupled with a prefabricated seed layer can be used to fabricate periodic microstructures. In this article, we show that the column competition effects inherent within aperiodic GLAD films can be delayed in periodic films due to the tendency of the film to achieve a preferred density at a given flux incidence angle. This technique, coupled with the use of the three-dimensional ballistic simulator, may allow for the design and use of periodic GLAD microstructures in such applications as magnetic pillars and three-dimensional photonic crystals.

Journal ArticleDOI
TL;DR: Patsis et al. as mentioned in this paper analyzed the detected line edges as found from scanning electron microscope (SEM) image analysis using scaling and fractal concepts and showed that the majority of analyzed experimental edges exhibit a self-affine character and thus the suggested parameters for the description of their roughness should be: (1) the sigma value, (2) the correlation length, and (3) the roughness exponent α.
Abstract: A search for the best and most complete description of line-edge roughness (LER) is presented The root mean square (rms) value of the edge (sigma value) does not provide a complete characterization of LER since it cannot give information about its spatial complexity In order to get this missing information, we analyze the detected line edges as found from scanning electron microscope(SEM)image analysis [see Paper I: G P Patsis et al, J Vac Sci Technol B 21, 1008 (2003)] using scaling and fractal concepts It is shown that the majority of analyzed experimental edges exhibit a self-affine character and thus the suggested parameters for the description of their roughness should be: (1) the sigma value, (2) the correlation length ξ, and (3) the roughness exponent α The dependencies of ξ and α on various image recording and analysis parameters (magnification, resolution, threshold value, etc) are thoroughly examined as well as their implications on the calculation of sigma when it is carried out by averaging over the sigmas of a number of segments of the edge In particular, ξ is shown to be connected to the minimum segment size for which the average sigma becomes independent of the segment size, whereas α seems to be related to the relative contribution of high frequency fluctuations to LER

Journal ArticleDOI
TL;DR: In this article, a self-aligned technique was developed to pattern the extraction gate, insulator, and nanotubes in the micro-cathode, which exhibited a peak current of 105 μA at 48 V when operated with a duty cycle of 5% and an average current of 0.5 µA when operated at 48V.
Abstract: This article presents an overview of the “Nanolith” parallel electron-beam (e-beam) lithography approach The e-beam writing head consists of an array of microguns independently driven by an active matrix complementary metal–oxide–semiconductor circuit At the heart of each microgun is a field-emission microcathode comprised of an extraction gate and vertical carbon nanotube emitter, whose mutual alignment is critical in order to achieve highly focused electron beams Thus, in this work, a single-mask, self-aligned technique is developed to pattern the extraction gate, insulator, and nanotubes in the microcathode The microcathode examined here (150×150 gates, 2 μm gate diameter, with multiple nanotubes per gate) exhibited a peak current of 105 μA at 48 V when operated with a duty cycle of 05% The self-aligned process was extended to demonstrate the fabrication of single nanotube-based microcathodes with submicron gates

Journal ArticleDOI
TL;DR: In this paper, the material composition and crystal structure of diamond-like-carbon free-space-wiring were studied by transmission-electron microscopy and energy-dispersive x-ray spectroscopy.
Abstract: Focused-ion-beam chemical vapor deposition (FIB-CVD) is an excellent technology for forming three-dimensional nanostructures. Various diamond-like-carbon (DLC) free-space-wirings have been demonstrated by FIB-CVD using a computer-controlled pattern generator, which is a commercially available pattern generator for electron-beam (EB) lithography. The material composition and crystal structure of DLC free-space-wiring were studied by transmission-electron microscopy and energy-dispersive x-ray spectroscopy. As a result, it became clear that DLC free-space-wiring is amorphous carbon containing a Ga core in the wire. Furthermore, the electrical resistivity measurement of DLC free-space-wiring was carried out by two terminal electrodes. Au electrodes were fabricated by EB lithography and a lift-off process. The electrical resistivity was about 100 Ω cm at room temperature.

Journal ArticleDOI
TL;DR: In this paper, the authors describe microprobes for noncontact scanning force microscopy that make use of a directoscillating thermally driven bimorph actuator with integrated piezoresistive readout sensor.
Abstract: This article describes microprobes for noncontact scanning force microscopy that make use of a direct-oscillating thermally driven bimorph actuator with integrated piezoresistive readout sensor The sensitivity has been increased using direct current for biasing and alternating current for exciting the thermally driven cantilever in a higher flexural mode The cantilever operates in the phase-shift atomic force microscopy (AFM) detection technique The main advantage of phase imaging is the higher z resolution at high scan rates and much lower forces than in height imaging with contact AFM Critical dimensions measurements illustrating the imaging capability and resolution of our new scanning proximal probe are demonstrated

Journal ArticleDOI
TL;DR: In this article, the influence of a short high-temperature step, comparable to the so-called "firing" of the metallization on silicon solar cells, on properties of high-rate (>0.5nm/s) plasma deposited silicon nitride (a-SiNx:H) films has been investigated.
Abstract: The influence of a short high-temperature step, comparable to the so-called “firing” of the metallization on silicon solar cells, on properties of high-rate (>0.5 nm/s) plasma deposited silicon nitride (a-SiNx:H) films has been investigated. These a-SiNx:H films are used as antireflection coating on multicrystalline silicon (mc-Si) solar cells and, after the firing process, they also induce hydrogen bulk passivation in the mc-Si. Three different types of remote plasma deposited a-SiNx:H films have been investigated: (i) expanding thermal plasma (ETP) deposited a-SiNx:H films from a N2–SiH4 gas mixture, (ii) ETP deposited a-SiNx:H films from a NH3–SiH4 mixture, and (iii) microwave plasma deposited a-SiNx:H films from a NH3–SiH4 mixture. The atomic composition and optical and structural properties of the films have been studied before and after the high-temperature step by the combination of elastic recoil detection, spectroscopic ellipsometry, and Fourier transform infrared spectroscopy. It has been observed that the high-temperature step can induce significant changes in hydrogen content, bonding types, mass density, and optical absorption of the films. These thermally induced effects are more enhanced for Si- than for N-rich films, which in some cases have a high thermal stability. Furthermore, the material properties and the influence of the high-temperature step have been related to the bulk passivation properties of the a-SiNx:H coated mc-Si solar cells. It is found that in particular the density and thermal stability of the a-SiNx:H films seem to be important for the degree of the bulk passivation obtained.

Journal ArticleDOI
TL;DR: In this article, an economical way of fabricating gel-film-based devices by combining nanoimprint lithography (NIL) and a sol-gel technique was demonstrated. But this method was limited to a single step.
Abstract: We demonstrated an economical way of fabricating gel–film-based devices by combining nanoimprint lithography (NIL) and a sol–gel technique. A novel imprinting procedure, new mold surface passivation, and an effective surfactant added to sol were developed. Gratings with 300 nm pitch and 80 nm linewidth and waveguide gratings with varying periods were imprinted in a single step and with excellent uniformity into the gel films coated on a quarter of 4 in. wafers, respectively. Surface roughness measurements of waveguide gratings by atomic force microscope showed smooth profiles with root mean square roughness less than 6 nm. NIL is an excellent patterning technology for gel–film-based optical devices.

Journal ArticleDOI
TL;DR: In this article, ZnO nanorods with diameters of 15-30 nm were grown on Ag-coated Si substrates by catalyst-driven molecular beam epitaxy and then implanted with Mn+ or Co+ ions to doses of 1-5×1016 cm−2.
Abstract: ZnO nanorods with diameters of 15–30 nm were grown on Ag-coated Si substrates by catalyst-driven molecular beam epitaxy and then implanted with Mn+ or Co+ ions to doses of 1–5×1016 cm−2. After subsequent annealing at 700 °C for 5 min, the structural properties of the nanorods were unaffected, but they exhibited ferromagnetism that persisted to temperatures of 225–300 K. The coercive fields were ⩽100 Oe even at 10 K. The results are similar to those obtained for implantation of Mn+ or Co+ ions in bulk single-crystal ZnO and indicate promise for nanorods for nanoscale spintronic applications.

Journal ArticleDOI
TL;DR: In this article, the authors evaluated the performance of metal-oxide-semiconductor field effect transistor (MOSFET) gate lengths for the dual-metal gate complementary metaloxide semiconductor using HfO2 as the gate dielectric.
Abstract: As the metal–oxide–semiconductor field-effect transistor (MOSFET) gate lengths scale down to 50 nm and below, the expected increase in gate leakage will be countered by the use of a high dielectric constant (high-k) gate oxide. The series capacitance from polysilicon gate electrode depletion significantly reduces the gate capacitance as the dielectric thickness is scaled to 10 A equivalent oxide thickness (EOT) or below. Metal gates promise to solve this problem and address other gate stack scaling concerns like boron penetration and elevated gate resistance. Extensive simulations have shown that the optimal gate work functions for the sub-50 nm channel lengths should be 0.2 eV below (above) the conduction (valence) band edge of silicon for n-type MOSFETs (p-type MOSFETs). This study summarizes the evaluations of TiN, Ta–Si–N, WN, TaN, TaSi, Ir, and IrO2 as candidate metals for dual-metal gate complementary metal–oxide semiconductor using HfO2 as the gate dielectric. The gate work function was determined ...

Journal ArticleDOI
TL;DR: In this article, the resistivity, crystallinity, and work function of Tantalum nitride (TaN) films were investigated as a function of nitrogen flow rate, and the work function increased to 4.5-4.7 eV with less dependency on the nitrogen flow rates.
Abstract: Tantalum nitride (TaN) films were prepared by reactive sputtering in a gas Ar and N2 for gate electrode applications. Resistivity, crystallinity, and work function of the films were investigated as a function of nitrogen flow rate. As the nitrogen flow rate increased from 0 to 20 sccm, the resistivity of as-deposited TaN films increased from 132 to 1.4×105 μΩ cm. With a nitrogen flow rate of 8 and 10 sccm, the fcc TaN phase was obtained. The work function of the TaN films was investigated using TaN-gated nmetal–oxide–semiconductor capacitors with SiO2 gate dielectrics of various thicknesses. As the nitrogen flow rate increased from 4 to 12 sccm, the work function decreased from 4.1 to 3.4 eV for as-deposited films. After annealing at 950 °C for 1 min, the work function increased to 4.5–4.7 eV, with less dependency on the nitrogen flow rate.

Journal ArticleDOI
TL;DR: In this article, the crystal structure and oxidation state of nanostructured CeO2 films are studied by x-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), respectively.
Abstract: Nanostructured CeO2 films are produced by anodic electrodeposition onto a variety of substrates. The crystal structure and oxidation state of the films are studied by x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS), respectively. Calculations from XRD show that crystallite sizes of the electrodeposited films range from 6–10 nm. Sintering of these films to 700 °C increases the grain size to approximately 25 nm. A study of Ce 3d, Ce 4d, O 1s, and the valence-band region indicates that the Ce(IV)/Ce(III) ratio increases with sintering temperature, with features of both Ce4+ and Ce3+ identified by XPS. Ce 3d and O 1s characteristics show that high-temperature sintering of the films facilitates Ce(IV) oxide formation.

Journal ArticleDOI
TL;DR: In this paper, the effects of H2O vapor introduced during focused ion beam (FIB) milling of diamond(100) are examined, and the yield, surface morphology, and microstructural damage that results from FIB sputtering and h2O-assisted FIB milling processes are determined.
Abstract: The effects of H2O vapor introduced during focused ion beam (FIB) milling of diamond(100) are examined. In particular, we determine the yield, surface morphology, and microstructural damage that results from FIB sputtering and H2O-assisted FIB milling processes. Experiments involving 20 keV Ga+ bombardment to doses ∼1018 ions/cm2 are conducted at a number of fixed ion incidence angles, θ. For each θ selected, H2O-assisted ion milling shows an increased material removal rate compared with FIB sputtering (no gas assist). The amount by which the yield is enhanced depends on the angle of incidence with the largest difference occurring at θ=75°. Experiments that vary pixel dwell time from 3 μs to 20 ms while maintaining a fixed H2O gas pressure demonstrate the additional effect of beam scan rate on yield for gas-assisted processes. Different surface morphologies develop during ion bombardment depending on the angle of ion incidence and the presence/absence of H2O. In general, a single mode of ripples having a wave vector aligned with the projection of the ion beam vector forms for θ as high as 70°. H2O affects this morphology by lowering the ripple onset angle and decreasing the ripple wavelength. At high angles of incidence (θ>70°) a step/terrace morphology is observed. H2O-assisted milling at θ>70° results in a smoother stepped surface compared with FIB sputtering. Transmission electron microscopy shows that the amorphized thickness is reduced by 20% when using H2O-assisted FIB milling.

Journal ArticleDOI
TL;DR: In this article, the surface preparation of GaSb(100) based on HCl solutions was studied by x-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM).
Abstract: The surface preparation of GaSb(100), based on HCl solutions, was studied by x-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The chemical and structural analysis by XPS and AFM indicates that the GaSb surface treated by HCl followed by a 2-propanol rinse leads to a 1 to 2 nm oxide layer on the surface. The resulting smooth surface is slightly antimony rich. Surfaces rinsed in deionized water, after HCl-based etching, possess a thicker overlayer, which is depleted of antimony. The surface morphology becomes rough rapidly upon reexposure to air after the HCl/H2O treatment. Other etching processes, including a tartaric acid based etchant, were investigated. Tartaric acid-based etches yield a highly nonstoichiometric surface along with an inhomogeneous etching morphology. The rates of desorption of surface oxides were determined by XPS analysis under ultrahigh vacuum conditions and the typical temperatures of desorption for the constituent chemical component comprising the surface la...

Journal ArticleDOI
TL;DR: In this article, the authors used 50 kV electron beam lithography to achieve isolated 6-nm wide lines and 27 nm period gratings in 30 nm hydrogen silsesquioxane films on silicon substrates.
Abstract: Hydrogen silsesquioxane (HSQ) is a high-resolution negative-tone inorganic resist for electron beam lithography. Investigations on the smoothness of the surfaces of thin films (less than 100 nm thick) have been conducted for nanolithography applications. It is demonstrated that films at thicknesses down to 25 nm have very low rms roughness and are defect free. Using 50 kV electron beam lithography, we demonstrate the achievement of isolated 6-nm-wide lines and 27 nm period gratings in 30 nm HSQ films on silicon substrates. These results are superior to those achieved with poly(methylmethacrylate) resist and demonstrates the versatility of HSQ for nanolithography.

Journal ArticleDOI
TL;DR: In this article, high-electron-mobility transistors have been fabricated on a sapphire substrate by atmospheric pressure metalorganic chemical vapor deposition with good uniformity and 2DEG mobilities of 936, 1163, 1310, 1274, and 911 cm2/V
Abstract: AlxGa1−xN/GaN (0.20⩽x⩽0.52) heterostructures (HSs) were grown on a sapphire substrate by atmospheric pressure metalorganic chemical vapor deposition with good uniformity and two-dimensional-electron-gas (2DEG) mobilities of 936, 1163, 1310, 1274, and 911 cm2/V s for different-Al-contents of 20%, 27%, 34%, 42%, and 52%, respectively. 2DEG mobility increase up to the Al content of 34% and then it slowly decreases for high Al-content AlGaN/GaN HSs. An increase of sheet carrier density with the increase of Al content has been observed. A small hump photoluminescence peak of e2DEG1–h has been observed in both 34% and 42% Al-content AlGaN/GaN heterostructures. High Al-content (52%) heterostructure has exhibited a distinguished e2DEG1–h peak. The increase of surface roughness and granular size of AlGaN/GaN heterostructures with the increase of Al content is due to the increase of lattice mismatch between GaN and AlGaN layers. High-electron-mobility transistors (HEMTs) have been fabricated and characterized using...

Journal ArticleDOI
TL;DR: In this paper, the authors proposed a room-temperature nano-print lithography (RT-NIL) technology using hydrogen silsequioxane (HSQ) instead of the poly(methylmethacrylate) used in conventional NIL.
Abstract: Room-temperature nanoimprint lithography (RT-NIL) technology has been developed to overcome critical dimensions and pattern placement errors caused by thermal expansion in the conventional nanoimprint lithography (NIL) process. We propose RT-NIL using hydrogen silsequioxane (HSQ) instead of the poly(methylmethacrylate) used in conventional NIL. We demonstrate HSQ-replicated patterns with a 90 nm diameter hole and 50 nm linewidth for room-temperature replications. Furthermore, we have developed new nanotransfer printing technology utilizing the adhesion characteristics of HSQ. We also demonstrate the transfer of photoresist and Au patterns from a mold to a substrate.

Journal ArticleDOI
TL;DR: In this article, the effects of the top electrode fabrication method on the transport properties of Au-alkanedithiol-GaAs junctions were examined, and it was shown that diodes made by evaporating Au on 1,8-octanedisithiol monolayer behave similarly to Au−GaAs junction without the molecular layer.
Abstract: The effects of the top electrode fabrication method on the transport properties of Au–alkanedithiol–GaAs junctions are examined. We found that diodes made by evaporating Au on 1,8-octanedithiol monolayer behave similarly to Au–GaAs junctions without the molecular layer. The direct Au–GaAs contact dominates the electrical conduction in these evaporated devices despite the presence of the molecular layer. In contrast, Au–dithiol–GaAs diodes made by nanotransfer printing (nTP) exhibit no direct contact between Au and GaAs. Electrical transport in the nTP junctions occurs through the 1,8-octanedithiol insulating layer. The low current levels and the high barrier-height values in the current–voltage results and the exponential energy dependence in the photoreponse yield that are observed in the nTP diodes support this conclusion. Since transport through the molecular layer is much less conductive, even a miniscule region of direct contact between the two electrodes will make it impossible to observe electrical transport through molecules. Fractional amounts of the different types of contacts for each kind of sample were obtained from the experimental results.