scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2008"


Journal ArticleDOI
TL;DR: A review of the state of the art and level of understanding of direct ion and electron beam fabrication and point out some of the unsolved problems can be found in this article, where the authors also discuss structures that are made for research purposes or for demonstration of the processing capabilities.
Abstract: Beams of electrons and ions are now fairly routinely focused to dimensions in the nanometer range. Since the beams can be used to locally alter material at the point where they are incident on a surface, they represent direct nanofabrication tools. The authors will focus here on direct fabrication rather than lithography, which is indirect in that it uses the intermediary of resist. In the case of both ions and electrons, material addition or removal can be achieved using precursor gases. In addition ions can also alter material by sputtering (milling), by damage, or by implantation. Many material removal and deposition processes employing precursor gases have been developed for numerous practical applications, such as mask repair, circuit restructuring and repair, and sample sectioning. The authors will also discuss structures that are made for research purposes or for demonstration of the processing capabilities. In many cases the minimum dimensions at which these processes can be realized are considerably larger than the beam diameters. The atomic level mechanisms responsible for the precursor gas activation have not been studied in detail in many cases. The authors will review the state of the art and level of understanding of direct ion and electron beam fabrication and point out some of the unsolved problems.

941 citations


Journal ArticleDOI
TL;DR: Nanoimprint lithography (NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and three dimensional material displacement.
Abstract: Nanoimprint lithography (NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and three dimensional material displacement. This can be done by shaping a liquid followed by a curing process for hardening, by variation of the thermomechanical properties of a film by heating and cooling, or by any other kind of shaping process using the difference in hardness of a mold and a moldable material. The local thickness contrast of the resulting thin molded film can be used as a means to pattern an underlying substrate on wafer level by standard pattern transfer methods, but also directly in applications where a bulk modified functional layer is needed. Therefore it is mainly aimed toward fields in which electron beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. The aim of this review is to play between two poles: the need to establish standard processes and tools for research and industry, and the issues that make NIL a scientific endeavor. It is not the author’s intention to duplicate the content of the reviews already published, but to look on the NIL process as a whole. The author will also address some issues, which are not covered by the other reviews, e.g., the origin of NIL and the misconceptions, which sometimes dominate the debate about problems of NIL, and guide the reader to issues, which are often forgotten or overlooked.

715 citations


Journal ArticleDOI
TL;DR: In this paper, a low-temperature, void-free InP-to-silicon direct wafer bonding on a silicon-on-insulator (SOI) substrate is presented.
Abstract: The authors report a highly efficient design for low-temperature, void-free InP-to-silicon direct wafer bonding on a silicon-on-insulator (SOI) substrate. By etching an array of small through holes in the top silicon layer, the generated gas by-products (H2O, H2) from bonding polymerization reactions and thus gaseous hydrocarbon can be absorbed and diffuse in the buried oxide layer, resulting in up to five orders of magnitude interfacial void density reduction (from >50 000 to ≤3 cm−2). The required annealing time is reduced to less than 30 min, a ∼100X improvement compared to the previous outgassing design as well. Comprehensive studies in associated processing details, bonding surface energy, universality, and stability are also presented. Successful 50, 75, and 100 mm InP expitaxial layer transfer to the SOI substrate is also demonstrated, which indicates a total elimination of outgassing issues regardless of the wafer bonding dimension. Several incidental advantages leading to a flexible device design...

132 citations


Journal ArticleDOI
TL;DR: In this article, a nanoimprint with a large-scale nanoporous Si mold is developed to fabricate high density periodic nanopillars (∼1010∕cm2) in various functional polymers, including SU-8, hydrogen silsesquixane, polymethylmethacrylate, poly(3-hexylthiophane) (P3HT), and phenyl-C61-butyric acid methyl ester (PCBM).
Abstract: Nanoimprint with a large-scale nanoporous Si mold is developed to fabricate high density periodic nanopillars (∼1010∕cm2) in various functional polymers. A anodic alumina membrane is first obtained using electrochemical anodization. The membrane is used as a mask for a two-step plasma etching process to obtain a Si mold of 50–80nm wide and 100–900nm deep pores. The mold is used in nanoimprint lithography to fabricate ordered and high density polymer nanopillars and nanopores in SU-8, hydrogen silsesquixane, polymethylmethacrylate, poly(3-hexylthiophane) (P3HT), and phenyl-C61-butyric acid methyl ester (PCBM). Then, the imprinted P3HT nanopillars were used to make bulk heterojunction solar cells by depositing PCBM on top of the pillars. Imprinting provides a way to precisely control the interdigitized heterojunction morphology, leading to improved solar cell performance.

112 citations


Journal ArticleDOI
TL;DR: In this paper, structural, electronic, and optical properties of amorphous and transparent zinc tin oxide films deposited on glass substrates by pulsed laser deposition (PLD) were examined for two chemical compositions of Zn: Sn = 1:1 and 2:1 as a function of oxygen partial pressure (PO2) used for the film deposition and annealing temperature.
Abstract: Structural, electronic, and optical properties of amorphous and transparent zinc tin oxide films deposited on glass substrates by pulsed laser deposition (PLD) were examined for two chemical compositions of Zn:Sn=1:1 and 2:1 as a function of oxygen partial pressure (PO2) used for the film deposition and annealing temperature. Different from a previous report on sputter-deposited films [Chiang et al., Appl. Phys. Lett. 86, 013503 (2005)], the PLD-deposited films crystallized at a lower temperature <450 °C to give crystalline ZnO and SnO2 phases. The optical band gaps (Tauc gaps) were 2.80−2.85 eV and almost independent of oxygen PO2, which are smaller than those of the corresponding crystals (3.35−3.89 eV). Films deposited at low PO2 showed significant subgap absorptions, which were reduced by postthermal annealing. Hall mobility showed steep increases when carrier concentration exceeded threshold values and the threshold value depended on the film chemical composition. The films deposited at low PO2<2 Pa ...

105 citations


Journal ArticleDOI
TL;DR: In this paper, the effects of different plasma chemistries including O2, Ar/O2 and CF4 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized.
Abstract: Polystyrene-block-poly(methyl methacrylate), (PS-b-PMMA) diblock copolymer is a promising lithography alternative for nanometer scale features. The two components segregate into nanoscale domains when the polymer solution is spun on to form a thin film and annealed above the glass transition temperatures of both components. Preferential removal of PMMA domains through plasma etching to leave behind a PS mask for subsequent etching of underlying layers is the focus of this work. The quality of the PS mask is characterized by the thickness and lateral dimension of the PS structures after removal of the PMMA, as well as the smoothness of their surfaces. The effects of different plasma chemistries including O2, Ar/O2, Ar, CF4, and CHF3/O2 on etch selectivity and surface/sidewall roughness for PS and PMMA have been characterized. Ar/O2 produced the overall best results for the range of conditions studied.

102 citations


Journal ArticleDOI
TL;DR: In this paper, a pattern generation from poly(styrene)-poly(ferrocenyldimethylsilane) block copolymers, and the subsequent pattern transfer into metal, oxide, and polymer films, is described.
Abstract: Block copolymers can self-assemble to generate patterns with nanoscale periodicity, which may be useful in lithographic applications. Block copolymers in which one block is organic and the other contains Si are appealing for self-assembled lithography because of the high etch contrast between the blocks, the high etch resistance of the Si-containing block, and the high Flory–Huggins interaction parameter, which is expected to minimize line edge roughness. The locations and long range order of the microdomains can be controlled using shallow topographical features. Pattern generation from poly(styrene)-poly(ferrocenyldimethylsilane) and poly(styrene)-poly(dimethylsiloxane) block copolymers, and the subsequent pattern transfer into metal, oxide, and polymer films, is described

97 citations


Journal ArticleDOI
TL;DR: In this article, a generalized Fowler-Nordheim-type (FN-type) equation was derived for CFE from flat free-electron-metal surfaces at 0K, in which correction factors were used to represent effects not included in standard CFE theory.
Abstract: Cold field electron emission (CFE) from metals is described by a large family of approximate equations called Fowler-Nordheim-type (FN-type) equations. This article discusses FN-type equations that give emission current density in terms of local work function and barrier field. Starting from the widely used standard FN-type equation [E. L. Murphy and R. H. Good, Phys. Rev. 102, 1464 (1956)], which is a hybrid physical/mathematical equation applicable to CFE from flat free-electron-metal surfaces at 0K, this article builds up a generalized “physical FN-type equation” in which physical correction factors are used to represent effects not included in standard CFE theory. The derivation starts by separating mathematical and physical aspects of standard theory, making use of a new independent physical variable (the scaled barrier field) and a physical “barrier-shape correction factor” that applies to any well-behaved barrier. Correction of long-standing error in applying Jeffries-Wentzel-Kramers-Brillouin-type...

96 citations


Journal ArticleDOI
TL;DR: In this paper, a mechanistic view of the plasma damage to low k dielectric materials was investigated from an analytical point of view, and the damage was characterized by angle resolved x-ray photoelectron spectroscopy, X-ray reflectivity, Fourier transform infrared spectrograph, and contact angle measurements.
Abstract: Plasma damage to low k dielectric materials was investigated from a mechanistic point of view. Low k dielectric films were treated by Ar, O2, N2, N2∕H2, and H2 plasmas in a standard reactive ion etching chamber and the damage was characterized by angle resolved x-ray photoelectron spectroscopy, x-ray reflectivity, Fourier transform infrared spectroscopy, and contact angle measurements. Both carbon depletion and surface densification were observed on the top surface of damaged low k materials while the bulk remained largely unaffected. Plasma damage was found to be a complicated phenomenon involving both chemical and physical effects, depending on chemical reactivity and the energy and mass of the plasma species. A downstream hybrid plasma source with separate ions and atomic radicals was employed to study their respective roles in the plasma damage process. Ions were found to play a more important role in the plasma damage process. The dielectric constant of low k materials can increase up to 20% due to p...

86 citations


Journal ArticleDOI
TL;DR: Goethals et al. as discussed by the authors discussed the most critical items for extreme ultraviolet lithography (EUVL) pre-production tools with targeted shipment of 2009 is well under way.
Abstract: Extreme ultraviolet lithography (EUVL) using 13.5nm wavelength light is the leading candidate to succeed 193nm immersion lithography, enabling semiconductor chips with features smaller than 22nm. Several major programs worldwide have developed this technology in recent years [D. A. Tichenor et al., OSA Proceedings on Soft X-Ray Projection Lithography, edited by A. M. Hawryluk and R. H. Stuten (1993), Vol. 18, p. 79; H. Kinachita, OSA Proceedings on Soft X-Ray Projection Lithography, edited by A. M. Hawryluk and R. H Stulen (1993), Vol. 18, p. 74; J. P. H. Benschop, W. M. Kaiser, and D. C. Ockwell, Proc. SPIE 3676, 246 (1999)] and in 2006, ASML shipped the first EUV Alpha Demo tools (NA=0.25 full-field scanners) to IMEC in Belgium [A. M. Goethals et al., Proc. SPIE 6517, 651709 (2007)] and CNSE in Albany [O. Wood et al., Proc. SPIE 6517, 6517–041 (2007)], USA. Currently the development of preproduction tools with targeted shipment of 2009 is well under way. This paper discusses the most critical items for ...

79 citations


Journal ArticleDOI
TL;DR: In this paper, the influence of the surface conditions of the chamber wall on carbon nanostructures was investigated in order to determine the optimum conditions for CNW growth with high stability and reproducibility.
Abstract: Two-dimensional carbon nanostructures, carbon nanowalls (CNWs), were fabricated on a Si substrate using radical injection plasma-enhanced chemical vapor deposition, employing fluorocarbon (C2F6) and hydrogen (H2) mixtures. The influence of the surface conditions of the chamber wall on CNW growth was investigated in order to determine the optimum conditions for CNW growth with high stability and reproducibility. In order to monitor the surface conditions of the chamber wall, optical emission spectroscopy in the plasma was measured, and the correlation between CNW growth and the surface conditions in the chamber wall was investigated. The growth rate and morphology of grown CNWs were determined to be influenced by the surface conditions of the chamber wall. Furthermore, O2 plasma chamber cleaning followed by predeposition for passivation was found to be effective for maintaining steady conditions to attain CNWs with high reproducibility.

Journal ArticleDOI
TL;DR: In this article, focused ion beam (FIB) fabrication of fiber optic sensors, mainly chemical sensors, which are based on plasmonics-active nanostructures formed on the cleaved tips of optical fibers, is reported.
Abstract: Focused ion beam (FIB) fabrication of fiber optic sensors, mainly chemical sensors, which are based on plasmonics-active nanostructures formed on the cleaved tips of optical fibers, is reported. The nanostructures fabricated included nanoholes in optically thick metallic films as well as metallic nanopillars and nanorods. The sensing mechanism is based on detecting shifts in surface plasmon resonances (SPRs) associated with nanoholes in metallic films and localized SPRs of metallic nanopillars and nanorods, when the refractive index of the medium surrounding the nanostructures is changed. These sensors can be employed for the detection of chemical agents in air as well as liquid media surrounding the sensors. FIB milling was employed to fabricate ordered arrays of nanoholes in optically thick (100–240nm) metallic films deposited on cleaved end faces of multimode, four-mode, and single-mode optical fibers. Separately, metallic nanorods and nanopillars were formed by first depositing a metallic (gold or sil...

Journal ArticleDOI
TL;DR: In this paper, the authors developed a protocol to fabricate transparent single wall carbon nanotube (SWCNT) films on polyethylene terephthalate (PET) film via spin coating of SWCNT-dispersion solution in dichloroethane (DCE).
Abstract: The authors developed the protocol to fabricate transparent single wall carbon nanotube (SWCNT) films on polyethylene terephthalate (PET) film via spin coating of SWCNT-dispersion solution in dichloroethane (DCE). As it turned out, preparation of good SWCNT-dispersion solution was essential for making good transparent films with low sheet resistance. The posttreatment with nitric acid was also performed to reduce the sheet resistance of as-prepared films. Our acid-treated SWCNT films showed visible-range transmittance of about 80% at sheet resistance of about 85 Ω/sq.

Journal ArticleDOI
TL;DR: In this paper, an enhancement-mode amorphous indium gallium zinc oxide (α-IGZO) channel thin film transistors (TFTs) with a 6μm gate length and a 100 μm gate width were fabricated on glass substrates by rf magnetron sputtering near room temperature.
Abstract: Enhancement-mode amorphous indium gallium zinc oxide (α-IGZO) channel thin film transistors (TFTs) with a 6μm gate length and a 100μm gate width were fabricated on glass substrates by rf magnetron sputtering near room temperature. The resistivities of the α-IGZO films were controlled from 10−1to103Ωcm by varying the deposition power of 75–300W. The n-type carrier concentration in the channel was 6.5×1017cm−3. The gate oxide was 90-nm-thick SiNx, deposited by plasma enhanced chemical vapor deposition at 70°C. The bottom-gate TFTs had saturation mobility of ∼17cm2V−1s−1 and the drain current on-to-off ratio of ∼>105, a subthreshold gate-voltage swing of ∼0.5Vdecade−1, and a threshold voltage of 2.1V. In the TFT with a gate length of 6μm and a gate width of 100μm, the relative change of saturation mobility and threshold voltage was less than ±1.5% after 500h aging time at room temperature. This demonstrates that α-IGZO films are promising semiconductor materials for long-term-stable transparent TFT applications.

Journal ArticleDOI
TL;DR: In this article, the effects of seed atoms on the formation of nanodots on silicon surfaces during normal incidence Ar+ ion bombardment at room temperature are studied with real-time grazing-incidence small-angle x-ray scattering (GISAXS), real time wafer curvature stress measurements and ex situ atomic force microscopy.
Abstract: Effects of seed atoms on the formation of nanodots on silicon surfaces during normal incidence Ar+ ion bombardment at room temperature are studied with real-time grazing-incidence small-angle x-ray scattering (GISAXS), real-time wafer curvature stress measurements and ex situ atomic force microscopy. Although Si surfaces remain smooth during bombardment at room temperature, when a small amount of Mo atoms is supplied to the surface during ion bombardment, the development of correlated structures (“dots”) is observed. Stress measurements show that initially a compressive stress develops during bombardment, likely due to amorphization of the surface and insertion of argon. However, seeding causes a larger tensile stress to develop with further bombardment, possibly due to the formation of higher density regions around the Mo seed atoms on the surface. Detailed fits of the GISAXS evolution during nanostructure growth show that the instability is larger than predicted by the Bradley-Harper theory of curvature...

Journal ArticleDOI
TL;DR: In this article, a simple method of fabricating gold tips, suitable for use in a shear-force mode atomic force microscope for tip-enhanced Raman spectroscopy (TERS), is presented.
Abstract: The authors report on a simple method of fabricating gold tips, suitable for use in a shear-force mode atomic force microscope for tip-enhanced Raman spectroscopy (TERS). The proposed electrochemical method is highly optimized and offers five advantages: produces a low-aspect ratio tip that can withstand the lateral force at the end of the tip during scanning, produces a sharp tip end to generate good Raman enhancements for TERS, a self-terminating process that makes the etching process easy, use of inexpensive electronic systems so that it can be adopted by any laboratory, and less time consuming (takes less than 20s to fabricate a single tip). This article demonstrates TERS imaging using such tips.

Journal ArticleDOI
TL;DR: In this paper, a single atom injector is proposed to detect single ion impacts in transistor channels, where the imaging and alignment capabilities of a scanning force microscope are integrated with ion beams from a series of ion sources and with sensitive detection of current transients induced by incident ions.
Abstract: The ability to inject dopant atoms with high spatial resolution, flexibility in dopant species, and high single ion detection fidelity opens opportunities for the study of dopant fluctuation effects and the development of devices in which function is based on the manipulation of quantum states in single atoms, such as proposed quantum computers. The authors describe a single atom injector, in which the imaging and alignment capabilities of a scanning force microscope (SFM) are integrated with ion beams from a series of ion sources and with sensitive detection of current transients induced by incident ions. Ion beams are collimated by a small hole in the SFM tip and current changes induced by single ion impacts in transistor channels enable reliable detection of single ion hits. They discuss resolution limiting factors in ion placement and processing and paths to single atom (and color center) array formation for systematic testing of quantum computer architectures in silicon and diamond.

Journal ArticleDOI
TL;DR: In this paper, the authors defined the "practical brightness" of a probe, which is defined as the amount of current contained in the probe when the virtual source is imaged onto the target.
Abstract: Probe size, shape, and current are important parameters for the performance of all probe forming systems such as the scanning (transmission) electron microscope, the focused ion beam microscope, and the Gaussian electron beam lithography system. Currently, however, the relation between probe current and probe size is ill defined. The key lies in a lacking definition of “size.” This problem is solved with the introduction of the “practical brightness.” In literature, many different definitions of “brightness” can be found, but for systems in which the whole of the virtual source is imaged onto the target, it is the practical brightness of a source that determines how much current is in the probe. This means that only with the practical brightness the performance of a probe forming system can be calculated quantitatively. The beauty of the practical brightness is that this source property is unaffected by the quality of the column: without interactions between electrons in the beam, the practical brightness is conserved down to the target. This makes it the only relevant brightness for probe forming systems to be used to compare different sources. The practical brightness can be measured, but can also be calculated when the source intensity profile is known. The Gaussian source intensity profile of thermionic, Schottky, and cold field emitters yields a practical brightness of 1.44ej/????, where j is the current density on the emitting surface and ??? is the average tangential electron energy.

Journal ArticleDOI
TL;DR: In this article, a comparative study of the changes in the chemical structure and properties of hydrogen silsesquioxane resists induced by thermal curing and electron-beam exposure has been conducted.
Abstract: A comparative study of the changes in the chemical structure and properties of hydrogen silsesquioxane (HSQ) resists induced by thermal curing and electron-beam exposure has been conducted. Studies using Fourier transform infrared (FTIR) spectroscopy suggested similar behavior in the redistribution of bonds for both processes. Quantitative analysis of both spectra revealed the existence of a critical temperature and electron dose for the achievement of development for pattern generation. As a complementary technique, x-ray reflectivity measurements were performed to monitor changes in the film density. Notwithstanding the similar changes in bond redistribution observed from the FTIR spectra for both thermal curing and electron-beam exposure, the film densification processes seem to occur in quite distinctive fashions. This was confirmed through dry etching experiments; the results of which were consistent for both Freon and Cl2∕Ar reactive ion etching. While effective film densification occurred only at c...

Journal ArticleDOI
TL;DR: In this paper, a low-strain quantum dots-in-a-well (DWELL) infrared photodetector was proposed to minimize the inclusion of the lattice-mismatched indium-containing compounds while maximizing the absorption cross section by enabling larger active region volume.
Abstract: The authors report the design, growth, fabrication, and characterization of a low-strain quantum dots-in-a-well (DWELL) infrared photodetector. This novel DWELL design minimizes the inclusion of the lattice-mismatched indium-containing compounds while maximizing the absorption cross section by enabling larger active region volume. The improved structure uses an In0.15Ga0.85As∕GaAs double well structure with Al0.10Ga0.90As as the barrier. Each layer in the active region was optimized for device performance. Detector structures grown using molecular beam epitaxy were processed and characterized. This new design offers high responsivity of 3.9A∕W at a bias of 2.2V and a detectivity of 3×109 Jones at a bias of 2.2V for a wavelength of 8.9μm. These detectors offer significant improvement in the responsivity while retaining the long wave infrared spectral properties of the InAs∕In0.15Ga0.85As∕GaAs DWELL. These detectors if coupled with improved noise characteristics could enable higher temperature operation of ...

Journal ArticleDOI
TL;DR: In this article, the authors used atomic force microscopy to analyze the roughness generated on c-Si (100) surfaces when etched in high-density plasmas over a wide range of conditions (pressure, rf power) using SF6, CF4, Cl2, and HBr chemistries.
Abstract: The authors used atomic force microscopy to analyze the roughness generated on c-Si (100) surfaces when etched in high-density plasmas over a wide range of conditions (pressure, rf power) using SF6, CF4, Cl2, and HBr chemistries. The authors demonstrate unambiguously that high-density plasmas do not generate roughness during silicon etching; but on the contrary, they tend to smooth the existing surface roughness if already present. This is evidenced by analyzing the time evolution of the shape of self-organized silicon nanopillars (patterned on the Si wafer by using diblock copolymers as an etch mask). The 20-nm-high, 20-nm-wide pillars separated by 10nm are rapidly smoothed by exposure to Cl2 and SF6 plasmas, thus restoring a flat silicon surface. In high-density plasmas, the local etch rate is generally limited by the availability of reactive radicals. In these conditions, the smoothing mechanism is due to the fact that the hills of a rough surface receive a higher flux of etchant radicals than the vall...

Journal ArticleDOI
TL;DR: In this paper, the authors proposed and demonstrated fine structure novel InN/GaN multiple quantum wells (MQWs) consisting of ultimately thin InN wells around 1 ML inserted in a GaN matrix grown under In-polarity growth regime by molecular beam epitaxy.
Abstract: The authors propose and demonstrate fine structure novel InN/GaN multiple quantum wells (MQWs) consisting of ultimately thin InN wells around 1 ML inserted in a GaN matrix grown under In-polarity growth regime by molecular beam epitaxy. Since the critical thickness of InN epitaxy on the c-plane GaN is about 1 ML and also the growth temperature for 1 ML InN insertion can be remarkably higher than conventional one, the proposed MQW structure can avoid new generation of misfit dislocation at the heterointerface, in principle, and results in high quality MQW structure due to the effects of enhanced surface migration at higher temperatures. It is shown that demonstrated 1 ML InN/GaN MQW structures indicate surprisingly higher structural quality/properties than those former-reported InN-based heterostructures. Self-ordering mechanism arising from immiscibility nature in between InN and GaN will also contribute for depositing sharp and atomically flat InN well. The proposed MQW structure has physically and pract...

Journal ArticleDOI
TL;DR: In this paper, the optimization of antireflection (AR) structures of polymer composed of an ordered array of tapered pillars was studied, and an anodic porous alumina mold with precisely controlled tapered holes was prepared and used for photoimprinting of the polymer.
Abstract: The optimization of antireflection (AR) structures of polymer composed of an ordered array of tapered pillars was studied An anodic porous alumina mold with precisely controlled tapered holes was prepared and used for photoimprinting of the polymer The reflectance of the obtained AR polymer structures with conical pillars was evaluated through the measurement of transmittance Among the AR structures with pillars with various slopes, those with a gradually changing slope exhibited the lowest reflectance The fabrication process will be effective for the formation of an AR surface with minimized reflectance over a large sample area

Journal ArticleDOI
TL;DR: In this paper, three passivation techniques for controlling spontaneous etching after an EBIE repair is made were explored, including active electron beam induced passivation using water, simple adsorption of water molecules on the TaN sidewalls, and oxygen plasma oxidization.
Abstract: Electron beam induced etching (EBIE) is an important technique for repairing nanoscale defects on extreme ultraviolet (EUV) lithography masks as it provides excellent spatial resolution and etch selectivity while minimizing collateral damage to the mask. While EBIE itself is a complex process, a current problem with EBIE of the TaN EUV mask absorber layer using XeF2 is the spontaneous etching of repaired features during subsequent edits of the mask. This work explores three passivation techniques for controlling the spontaneous etching after an EBIE repair is made. An oxygen plasma was used to attempt to oxidize the TaN sidewalls, but it was not successful at stopping the spontaneous etching. An active electron beam induced passivation using water was successful at stopping the spontaneous etching. Also, simple adsorption of water molecules on the TaN sidewalls was successful at inhibiting spontaneous etching. The successful passivation strategies are affected by subsequent scanning electron beam imaging....

Journal ArticleDOI
TL;DR: In this article, a type-II InAs∕GaSb strain layer superlattice photodetector using a nBn design with cutoff wavelength of ∼4.8μm at 250K was presented.
Abstract: We report on a type-II InAs∕GaSb strain layer superlattice photodetector using a nBn design with cutoff wavelength of ∼4.8μm at 250K. The surface component of dark current was eliminated. Using a shallow isolation etch, low temperature dark current was reduced by two orders of magnitude compared with conventional photodiode processing. Dark current densities were equal to 2.3×10−6 and 3.1×10−4A∕cm2 (Vb=0.1V, T=77K) for detectors with shallow isolation etch and conventional defined mesa, respectively. Quantum efficiency, responsivity, and spectral detectivity D* of the device are presented.

Journal ArticleDOI
TL;DR: In this article, a cross-sectional scanning tunneling microscopy was used to study the self-organized formation of quantum rings in GaSb quantum dots in GaAs, attributed to a combination of large strain with strong Sb segregation.
Abstract: GaSb quantum rings in GaAs were studied by cross-sectional scanning tunneling microscopy. The quantum rings have an outer shape of a truncated pyramid with typical lateral extensions between 10 and 30nm and heights between 1 and 3nm, depending on the molecular beam epitaxy growth conditions. A clear central opening of varying diameter and more or less conical shape, filled with GaAs, is characteristic for the GaSb rings. The self-organized formation of quantum rings during the growth and subsequent fast overgrowth of GaSb quantum dots is attributed to a combination of large strain with strong Sb segregation. The latter is enabled by extensive group-V atomic exchange reactions at the GaSb∕GaAs interfaces, which are quantitatively evaluated from the atomically resolved microscopy data.

Journal ArticleDOI
TL;DR: In this article, a massively parallel cantilever-probe platform is demonstrated, with 128 self-sensing and self-actuated proximal probes and readout based on piezoresistive sensors and bending control based on bimorph dc/ac actuations.
Abstract: Scanning proximity probes are uniquely powerful tools for analysis, manipulation, and bottom-up synthesis. A massively parallel cantilever-probe platform is demonstrated. 128 self-sensing and self-actuated proximal probes are discussed. Readout based on piezoresistive sensors and bending control based on bimorph dc/ac actuations are described in detail.

Journal ArticleDOI
TL;DR: In this paper, the linewidth roughness (LWR) of polysilicon transistor gates is investigated using a CD-atomic force microscopy to investigate the evolution of the LWR during the subsequent lithography and plasma etching steps involved in the patterning of poly silicon transistor gate.
Abstract: With the continuous scaling down of the critical dimensions (CDs) of semiconductor devices, the linewidth roughness (LWR) becomes a non-negligible parameter that needs to be controlled within 1nm (at 3σ) for the 32nm node and beyond. In this article, the authors have used a CD-atomic force microscopy to investigate the evolution of the LWR during the subsequent lithography and plasma etching steps involved in the patterning of polysilicon transistor gates. The authors demonstrate that the LWR present on the etching mask [photoresist/bottom antireflective coating (BARC), SiO2 or amorphous carbon hard masks] right before the gate etching is transferred into the polysilicon during the HBr∕Cl2∕O2 gate etching step. Thus, the final polysilicon LWR directly is strongly dependent on the lithography and plasma etching steps preceding the gate etching step. The authors show that by applying plasma treatment to minimize the resist mask LWR prior to all the other etching steps or by optimizing the BARC opening plasm...

Journal ArticleDOI
TL;DR: In this article, energy dispersive x-ray (EDX) spectroscopy coupled to transmission electron microscopy (TEM) is used to analyze the passivation layer deposited on the sidewall of InP submicron patterns etched in Cl2-H2 and HBr inductively coupled plasmas.
Abstract: Energy dispersive x-ray (EDX) spectroscopy coupled to transmission electron microscopy (TEM) is used to analyze the passivation layer deposited on the sidewall of InP submicron patterns etched in Cl2–H2 and HBr inductively coupled plasmas. It is shown that a thin Si-containing layer is deposited on the sidewalls of the etched patterns, resulting from the reaction of Cl2 or HBr with the Si wafer used as the sample tray. For Cl2-containing plasma, the deposition layer becomes thicker when hydrogen is added to the gas mixture, leading to highly anisotropic InP etching at an optimized H2 percentage. A similar effect is obtained in HBr plasma by increasing the ICP power. When O2 is added to the gas mixture, the deposited layer is changed from Si rich to more stoichiometric silicon oxide (SiO2) and the passivation effect is enhanced. EDX-TEM analysis has also been carried out on InP samples etched in Cl2–N2 plasma for comparison. A similar impact of the coverplate material on the sidewall profile is evidenced, ...

Journal ArticleDOI
TL;DR: In this article, the results of five different postprocessing techniques applied to a single extreme ultraviolet photoresist are presented. And the results show that rinse has the most promise in achieving the nearly two time linewidth roughness (LWR) improvement needed.
Abstract: In order to meet the linewidth roughness (LWR) requirements for the 16nm node, postprocessing methods need to be investigated to reduce the LWR after the lithography step. We present the results of five different techniques applied to a single extreme ultraviolet photoresist. The results show that rinse has the most promise in achieving the nearly two time LWR improvement needed. However, other techniques such as etch/trim, hardbake, vapor smoothing, and ozonation give at least 10%–20% LWR reduction and could be further optimized. Some of the physical based techniques which melt the photoresist reduce the midspatial frequency (50–10nm period) roughness, whereas chemical based techniques reduce the low order spatial frequencies (∼500–50nm period). Hence, a combination of techniques may be the ultimate solution.