scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2009"


Journal ArticleDOI
TL;DR: In this article, the authors consider the potential for sample damage from a charged particle beam and describe the potential applications operating regimes of such a system, as a function of dose, dose rate, and beam energy.
Abstract: In recent years, helium ion microscopy has produced high resolution images with novel contrast mechanisms. However, when using any charged particle beam, one must consider the potential for sample damage. In this article, the authors will consider helium ion induced damage thresholds as compared to other more traditional charged-particle-beam technologies, as a function of dose, dose rate, and beam energy, and describe potential applications operating regimes.

188 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrated that 4.5-nm-half-pitch structures could be achieved using electron-beam lithography, followed by salty development, and they also hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge is crucial in achieving a high initial development rate, which might be a more accurate assessment of developer performance than developer contrast.
Abstract: The authors, demonstrated that 4.5-nm-half-pitch structures could be achieved using electron-beam lithography, followed by salty development. They also hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge is crucial in achieving a high initial development rate, which might be a more accurate assessment of developer performance than developer contrast. Finally, they showed that with a high-development-rate process, a short duration development of 15s was sufficient to resolve high-resolution structures in 15-nm-thick resist, while a longer development degraded the quality of the structures with no improvement in the resolution.

169 citations


Journal ArticleDOI
TL;DR: In this article, a pattern definition technique for dense sub-10-nm structures is presented, in terms of high resolution, high sensitivity, and a low proximity effect in a hydrogen silsesquioxane resist.
Abstract: Scanning helium ion beam lithography is presented as a promising pattern definition technique for dense sub-10-nm structures. The powerful performance in terms of high resolution, high sensitivity, and a low proximity effect is demonstrated in a hydrogen silsesquioxane resist.

134 citations


Journal ArticleDOI
TL;DR: In this paper, deep reactive ion etching (DRIE) is investigated as a tool for the realization of nanostructures and architectures, including nanopillars, nanowalls, and nanonetworks, and the potential of combining top-down fabrication methods with the bottom-up synthesis of one-dimensional nanocomponents is assessed.
Abstract: Deep reactive ion etching (DRIE) is investigated as a tool for the realization of nanostructures and architectures, including nanopillars,siliconnanowires or carbon nanotubes on Si nanopillars, nanowalls, and nanonetworks The potential of combining top-down fabrication methods with the bottom-up synthesis of one-dimensional nanocomponents is assessed The field-emission properties of carbon nanotubes/Si pillars hybrid structures are measured, as well as the transport properties of large-area nanowires obtained via nanowire lithography The potential of DRIE for the fabrication of three-dimensional nanostructures is also revealed

132 citations


Journal ArticleDOI
TL;DR: In this article, a scanning-helium-ion-beam microscope was used to perform high-resolution helium ion-beam lithography with sub-10nm-half pitch patterning.
Abstract: A scanning-helium-ion-beam microscope is now commercially available. This microscope can be used to perform lithography similar to, but of potentially higher resolution than, scanning electron-beam lithography. This article describes the control of this microscope for lithography via beam steering/blanking electronics and evaluates the high-resolution performance of scanning helium-ion-beam lithography. The authors found that sub-10nm-half-pitch patterning is feasible. They also measured a point-spread function that indicates a reduction in the micrometer-range proximity effect typical in electron-beam lithography.

110 citations


Journal ArticleDOI
TL;DR: The generalized Talbot imaging (GTI) as discussed by the authors is a self-imaging method for patterning large area periodic structures of arbitrary shape and content with nanoscale resolution, which can be used to create complex, periodic patterns needed in the many lithographic fabrication steps of modern semiconductor devices.
Abstract: The authors present a self-imaging lithographic technique, capable of patterning large area periodic structures of arbitrary content with nanoscale resolution. They start from the original concept of Talbot imaging of binary gratings—and introduce the generalized Talbot imaging (GTI) where periodic structures of arbitrary shape and content form high-definition self-images. This effect can be used to create the complex, periodic patterns needed in the many lithographic fabrication steps of modern semiconductor devices. Since the process is diffraction limited, the achievable resolution depends only on the wavelength, mask patterning, and degree of coherence of the source. Their approach removes all the complex extreme ultraviolet (EUV) reflective masks and optics, replacing them with nanopatterned transmission masks and makes the whole process simple and cost effective. They have successfully verified the GTI concept using first a He–Ne laser, and then demonstrated its potential as a nanolithography method...

109 citations


Journal ArticleDOI
TL;DR: In this article, the authors focus on dipole antenna-coupled metal-oxide-metal diodes, which can be used for the detection of long wave infrared radiation.
Abstract: This work focuses on dipole antenna-coupled metal-oxide-metal diodes, which can be used for the detection of long wave infrared radiation. These detectors are defined using electron beam lithography and fabricated with shadow evaporation metal deposition. Along with offering complementary metal oxide semiconductor compatible fabrication, these detectors promise high speed and frequency selective detection without biasing, a small pixel footprint, and full functionality at room temperature without cooling. Direct current current-voltage characteristics are presented along with detector response to 10.6μm radiation. The detection characteristics can be tailored to provide for multispectral imaging in specific applications by modifying device geometries.

106 citations


Journal ArticleDOI
TL;DR: In this article, the replacement of the R-134a and polyester lubricant with a hydrocarbon refrigerant and mineral lubricant was discussed, which improved the lubrication and heat transfer performance.
Abstract: This work discusses the replacement of the R-134a refrigerant and polyester lubricant with a hydrocarbon refrigerant and mineral lubricant The mineral lubricant included added Al2O3 nanoparticles (005, 01, and 02wt% ) to improve the lubrication and heat-transfer performance Experimental results indicated that the 60% R-134a and 01wt% Al2O3 nanoparticles were optimal Under these conditions, the power consumption was reduced by about 24%, and the coefficient of performance was increased by 44% These results show that replacing R-134a refrigerant with hydrocarbon refrigerant and adding Al2O3 nanoparticles to the lubricant effectively reduced power consumption

102 citations


Journal ArticleDOI
TL;DR: In this paper, the ideality factors and the effective barrier heights were determined by currentvoltage measurements, and the mean barrier height, the standard deviation and the respective voltage dependencies taking lateral fluctuations of the barrier height into account.
Abstract: Highly rectifying Ag, Au, Pd, and Pt Schottky contacts have been fabricated on heteroepitaxial pulsed-laser deposited ZnO-thin films by reactive sputtering. X-ray photoelectron spectroscopy revealed an oxidation of the Ag, Pd, and Pt contact material; the gold contacts are purely metallic. The necessity of a conductive capping of the oxidized contacts is proven by photocurrent measurements of AgxO contacts. The ideality factors and the effective barrier heights were determined by current-voltage measurements. Capacitance-voltage and temperature dependent current-voltage measurements were furthermore carried out to determine the mean barrier height, the standard deviation and the respective voltage dependencies taking lateral fluctuations of the barrier height into account.

75 citations


Journal ArticleDOI
TL;DR: Yang et al. as mentioned in this paper used a combination of calculation, modeling, and experiment to investigate the relative effects of resist contrast, beam scattering, secondary electron generation, system spot size, and metrology limitations on SEBL process resolution.
Abstract: Achieving the highest possible resolution using scanning-electron-beam lithography (SEBL) has become an increasingly urgent problem in recent years, as advances in various nanotechnology applications [F. S. Bates and G. H. Fredrickson, Annu. Rev. Phys. Chem. 41, 525 (1990); Black et al., IBM J. Res. Dev. 51, 605 (2007); Yang et al., J. Chem. Phys. 116, 5892 (2002)] have driven demand for feature sizes well into the sub-10nm domain, close to the resolution limit of the current generation of SEBL processes. In this work, the authors have used a combination of calculation, modeling, and experiment to investigate the relative effects of resist contrast, beam scattering, secondary electron generation, system spot size, and metrology limitations on SEBL process resolution. In the process of investigating all of these effects, they have also successfully yielded dense structures with a pitch of 12nm at voltages as low as 10keV.

73 citations


Journal ArticleDOI
TL;DR: In this paper, it was found that the prominence of these two types of contrast varies with the beam energy, yielding information about the nature of the interactions that gives rise to them.
Abstract: Recent investigations are gaining us a better understanding of the nature of the beam-sample interactions in the helium ion microscope and what they mean for the image information provided In secondary electron (SE) imaging, for example, the surface sensitivity is attributed to the low SE-II fraction Voltage contrast imaging shows the ability to see both buried structures and to probe the conductance to ground of surface contacts It is found, however, that the prominence of these two types of contrast varies oppositely with beam energy, yielding information about the nature of the interactions that gives rise to them Transmission ion imaging can yield information about material density, atomic number, grain structure, and electronic structure It is possible to capture the top-side SE signal, bright field signal, and dark field signal from a given sample simultaneously The detection of diffraction contrast is under investigation

Journal ArticleDOI
TL;DR: Lyding et al. as discussed by the authors demonstrated that a scanning tunneling microscope can be used to remove hydrogen (H) atoms from a silicon (100) 2 × 1 H-passivated surface through an electron stimulated desorption process.
Abstract: Lithographic precision is as or more important than resolution. For decades, the semiconductor industry has been able to work with ±5% precision. However, for other applications such as micronanoelectromechanical systems, optical elements, and biointerface applications, higher precision is desirable. Lyding et al. [Appl. Phys. Lett. 64, 11 (1999)] have demonstrated that a scanning tunneling microscope can be used to remove hydrogen (H) atoms from a silicon (100) 2 × 1 H-passivated surface through an electron stimulated desorption process. This can be considered e-beam lithography with a thin, self-developing resist. Patterned hydrogen layers do not make a robust etch mask, but the depassivated areas are highly reactive since they are unsatisfied covalent bonds and have been used for selective deposition of metals, oxides, semiconductors, and dopants. The depassivation lithography has shown the ability to remove single H atoms, suggesting the possibility of precise atomic patterning. This patterning proces...

Journal ArticleDOI
TL;DR: In this article, a dipole antenna coupled with a metal-oxide-metal (MOM) (Al−AlOx-Pt) diode was designed for IR sensors. But the MOM overlap area of these devices is as small as 50×80nm2.
Abstract: The authors have designed a new procedure for fabrication of infrared (IR) sensors. These sensors consist of a dipole antenna coupled with a metal-oxide-metal (MOM) (Al–AlOx–Pt) diode. The surface of electron beam evaporated Al, serving as one of the electrodes, is cleaned using an Ar plasma, followed by in situ controlled growth of the tunneling oxide, AlOx. The antenna, its leads, and the overlap of the Al and Pt electrodes that defines the MOM overlap area are all defined using electron beam lithography. The MOM overlap area of these devices is as small as 50×80 nm2. Features of our process include the use of dissimilar metals for the formation of the MOM diode, small MOM diode size, and controlled etching and regrowth of the tunneling oxide. A CO2 laser at 10.6 μm was used for the IR characterization of these sensors. Current-voltage and IR measurements are presented. The normalized detectivity (D∗) for these devices was found to be 2.13×106 cm Hz1/2 W−1.

Journal ArticleDOI
TL;DR: In this paper, the ultralow specific contact resistivity (ρc) in nonalloyed, in situ Ohmic contacts to heavily doped n-type In0.53Ga0.47As was reported.
Abstract: The authors report ultralow specific contact resistivity (ρc) in nonalloyed, in situ Ohmic contacts to heavily doped n-type In0.53Ga0.47As:Si layers with 6×1019cm−3 active carrier concentration, lattice matched to InP. The contacts were formed by depositing molybdenum (Mo) immediately after the In0.53Ga0.47As growth without breaking vacuum. Transmission line model measurements showed a contact resistivity of (1.1±0.6)×10−8Ωcm2 for the Mo∕InGaAs interface. The contacts show no observable degradation in resistivity after annealing at 300 and 400°C for 1min duration.

Journal ArticleDOI
TL;DR: In this paper, the basic degradation of a metal-oxide-semiconductor (MOS) gate oxide from plasma processing steps is described, and the reliability characterization techniques and basics are discussed and problem areas are highlighted.
Abstract: In this review, essential topics on reliability characterization of plasma-induced damage are discussed. First, the basic degradation of a metal-oxide-semiconductor (MOS) gate oxide from plasma processing steps is described. Second, the reliability characterization techniques and basics are discussed and problem areas are highlighted. Discussion points include the antenna ratio definition, test structure layout employing MOS transitors with and without antennas, stress and measurement sequence including a revealing stress, use of protection elements against plasma-charging, plasma-charging effects on metal-insulator-metal capacitors, and plasma-charging effects on high-k dielectrics of field-effect transistors.

Journal ArticleDOI
TL;DR: In this article, an Ar discharge from an inductively coupled plasma reactor was used to determine the factors in a simple plasma that control etch and surface roughness behavior for three styrene-based and three ester-based model polymers.
Abstract: Plasma-polymer interactions are important for the purpose of etching, deposition, and surface modification in a wide range of different fields. An Ar discharge from an inductively coupled plasma reactor was used to determine the factors in a simple plasma that control etch and surface roughness behavior for three styrene-based and three ester-based model polymers. The authors compared the etch behavior of polymers in Ar plasma discharges with low and high energy ions by changing the substrate bias, compared cooled and elevated substrate temperature conditions, and compared fully plasma-exposed conditions and vacuum ultraviolet (vuv)-only conditions by employing a magnesium fluoride window to prevent ion bombardment in the vuv-only case. It was found that ions, vuv radiation, and temperature all had significant impact on the etch behavior of polymers. The dependence of polymer structure on etch and surface roughness was also compared. Polymers with styrene and ester side groups were compared and polymers w...

Journal ArticleDOI
TL;DR: In this paper, the authors found that the catalytic reaction occurs at a very narrow interfacial region between amorphous carbon and liquid gallium, which is a good graphitizing catalyst for a large area graphene sheet.
Abstract: The authors have found that liquid gallium exhibits as a good graphitizing catalyst for a large area graphene sheet. While gallium and carbon are known to be an insoluble system, the authors have found that the catalytic reaction occurs at a very narrow interfacial region between amorphous carbon and liquid gallium. Amorphous carbon film was transformed into graphite layer composed of a few layers of graphene sheet. This thin graphene film can be easily transferred into silicon substrate through the intermediation of poly-dimethyl-siloxane rubber stamping.

Journal ArticleDOI
TL;DR: In this paper, a coherent scattering microscope for extreme ultraviolet (EUV) light has been developed for the actinic inspection of EUV lithography masks, which provides aberration-free, diffraction-limited imaging and a high numerical aperture.
Abstract: A coherent scattering microscope for extreme ultraviolet (EUV) light has been developed for the actinic inspection of EUV lithography masks. It was installed at the NewSUBARU synchrotron facility. It provides aberration-free, diffraction-limited imaging and a high numerical aperture. Coherent EUV light scattered (diffracted) from a mask is recorded using an EUV charged coupled device camera with a numerical aperture of 0.15. An image of the sample is reconstructed using a hybrid input-output algorithm, which retrieves the phase from the intensity data. Masks containing periodic line-and-space and hole patterns with a half-pitch ranging from 100to400nm were fabricated in the laboratory and imaged. The reconstructed images correlate well with images obtained with a scanning electron microscope (SEM). The actinic critical dimension of the linewidth of TaN absorber patterns on a mask was measured and was consistently found to be 25nm larger than that obtained from the SEM data.

Journal ArticleDOI
TL;DR: Grazing incident small angle X-ray scattering (GISAXS) and transmission small angle xray scattering studies have been carried out on periodic patterns on silicon substrates in order to determine the average morphology and arrangement of the patterned features as discussed by the authors.
Abstract: Grazing incident small angle x-ray scattering (GISAXS) and transmission small angle x-ray scattering studies have been carried out on periodic patterns on silicon substrates in order to determine the average morphology and arrangement of the patterned features. The GISAXS pattern exhibited rods of scattering at Bragg positions, discrete and evenly spaced, in the surface plane. The scattered intensity modulations along each rod have been compared with simulated scattering from simple geometrical patterns to obtain quantitative information on the diameter, width, height, and sidewall inclination of the pillars and gratings. The results are in good agreement with real space images obtained with SEM and demonstrate that GISAXS is a powerful technique for characterizing nanoscale arrays used in patterned media, photonics structures, and electronics structures.

Journal ArticleDOI
TL;DR: In this article, the Schottky barrier heights of metal gate materials on high dielectric constant (high K) oxides for use in advanced Si field effect transistors are calculated accurately for ideal interfaces of various stoichiometries and for interfaces with defects.
Abstract: The article summarizes the development of metal gate materials and the control of the effective work function on high dielectric constant (high K) oxides for use in advanced Si field effect transistors. The Schottky barrier heights of metals on HfO2 are calculated accurately for ideal interfaces of various stoichiometries and for interfaces with defects.

Journal ArticleDOI
TL;DR: In this article, the authors investigated optimum annealing conditions to remove Mn from the Cu-Mn alloy by forming an external Mn oxide and to reduce resistivity to a level of pure Cu.
Abstract: A self-forming barrier process using Cu–Mn alloy has been reported to exhibit excellent reliability for interconnect lines in advanced semiconductor devices. However, Mn increases resistivity. In this work, the authors investigated optimum annealing conditions to remove Mn from the Cu–Mn alloy by forming an external Mn oxide and to reduce resistivity to a level of pure Cu. The results were interpreted by an external oxidation mechanism of Mn atoms.

Journal ArticleDOI
TL;DR: The infrastructure required to enable S-FIL in high- volume manufacturing is discussed, namely, fabrication of master templates, template replication, high-volume imprinting with precisely controlled residual layers, and dual-sided imprinting.
Abstract: The ever-growing demand for hard drives with greater storage density has motivated a technology shift from continuous magnetic media to patterned media hard disks, which are expected to be implemented in future generations of hard disk drives to provide data storage at densities exceeding 1012 bits/in.2. Step and flash imprint lithography (S-FIL) technology has been employed to pattern the hard disk substrates. This article discusses the infrastructure required to enable S-FIL in high-volume manufacturing, namely, fabrication of master templates, template replication, high-volume imprinting with precisely controlled residual layers, and dual-sided imprinting. Imprinting of disks is demonstrated with substrate throughput currently as high as 180 disks/h (dual sided). These processes are applied to patterning hard disk substrates with both discrete tracks and bit-patterned designs.

Journal ArticleDOI
TL;DR: In this article, two different mold-processing routes were investigated: (1) HSQ patterns on top of a silicon substrate were directly used for nanoimprint and (2) the HSQ pattern on the mold were transferred into the underlying silicon substrate to increase the aspect ratio of the patterns prior to imprint.
Abstract: This work addresses the challenges in fabricating sub-10nm sized features, dense (sub-15nm half-pitch) arbitrary-pattern nanoimprint molds, as well as pattern transfer of the molds using nanoimprint. The molds were fabricated using an optimized electron-beam lithography process with hydrogen silsesquioxane (HSQ) resist. Two different mold-processing routes were investigated: (1) HSQ patterns on top of a silicon substrate were directly used for nanoimprint and (2) the HSQ patterns on the mold were transferred into the underlying silicon substrate to increase the aspect ratio of the patterns prior to imprint. After the nanoimprint, lift-off was carried out to demonstrate that the pattern could be transferred into functional materials. The difference between the two mold-processing routes is discussed. The results show excellent resolution transfer throughout the process flow to create sub-15nm half-pitch patterns in functional materials.

Journal ArticleDOI
TL;DR: In this article, the effects of thermal annealing and wet chemical treatments on the electrical characteristics of GaN-based light emitting diodes (LEDs) integrated with a microhole array were studied.
Abstract: The effects of thermal annealing and wet chemical treatments on the electrical characteristics of GaN-based light emitting diodes (LEDs) integrated with a microhole array were studied. It was found that KOH can etch off the plasma-damaged materials, leading to a complete suppression of surface leakage currents. It, however, attacked metal contacts and compromised the forward turn-on characteristics. Thermal annealing removed damage in the near-surface bulk region, whereas (NH4)2S treatment only passivated surface states. Both methods produced a partial recovery of the electrical characteristics of the perforated LEDs. It has been found that a complete removal of plasma damage in the perforated LEDs can be realized by thermal annealing used in conjunction with sulfide passivation.

Journal ArticleDOI
TL;DR: In this article, a combination of metal organic chemical vapor deposition and atomic layer deposition techniques was used to construct polycrystalline and epitaxial RuO2∕TiO2 ∕RuO2
Abstract: Polycrystalline and epitaxial RuO2∕TiO2∕RuO2 structures were prepared by a combination of metal organic chemical vapor deposition and atomic layer deposition techniques. TiO2 layer grew in a rutile structure due to epitaxial growth on the RuO2 seed layer and exhibited high dielectric constant of 120. Equivalent oxide thickness as low as 0.5nm with a leakage current density of 6×10−6A∕cm2 at 0.8V bias voltage was obtained for the RuO2∕TiO2∕RuO2 capacitor structure epitaxially grown on (11¯02) sapphire substrate. Analysis of leakage currents revealed uneven distribution of defects in the TiO2 dielectric layer.

Journal ArticleDOI
TL;DR: In this article, a Carl Zeiss ORION™ helium ion microscope was used along with an OmniGIS unit to deposit platinum while exploring a variety of controllable parameters such as beam current, beam overlap, and size of deposition.
Abstract: Helium ion microscopy is now a demonstrated practical technology that possesses the resolution and beam currents necessary to perform nanofabrication tasks, such as circuit edit applications. Due to helium’s electrical properties and sample interaction characteristics relative to gallium, it is likely that the properties and deposition characteristics of beam induced deposited films will be different than those produced using gallium focused ion beam technology. However, there is at this date very little literature discussing the use of helium beams for beam induced chemistry or characterization of the resulting films. In this article, the authors present initial results regarding the deposition of platinum using a helium ion microscope and a gaseous organometallic precursor. Within this work a Carl Zeiss ORION™ helium ion microscope was used along with an OmniGIS unit to deposit platinum while exploring a variety of controllable parameters such as beam current, beam overlap, and size of deposition.

Journal ArticleDOI
TL;DR: In this article, the nanoscratching-induced deformation of monocrystalline Si has been investigated using transmission electron microscopy (TEM) and the results indicate that amorphization and formation of crystalline defects are two dominant phenomena associated with the scratching processes.
Abstract: The nanoscratching-induced deformation of monocrystalline Si has been investigated using transmission electron microscopy (TEM). The results indicate that amorphization and formation of crystalline defects are two dominant phenomena associated with the scratching processes. TEM analyses reveal that amorphization occurs at extremely small scratching loads. Stacking faults and twins are nucleated at a smaller load than that for dislocation. Dislocations start to nucleate along Si {111} planes when the normal scratching load is greater than a threshold value and penetrate deeper into the Si subsurface with the increasing load. Both normal load and tip radius have significant influence on the deformation, which are somehow different from those associated with nanoindentation and nanogrinding.

Journal ArticleDOI
TL;DR: In this article, the fabrication of gallium phosphide nanowire arrays that can be used for cellular force measurements is described, where the positions are defined using electron beam lithography and the nanowires are grown using metal organic vapor phase epitaxy.
Abstract: The authors report the fabrication of gallium phosphide nanowire arrays that can be used for cellular force measurements. The nanowire positions are defined using electron beam lithography and the nanowires are grown using metal organic vapor phase epitaxy. By varying the nanowire diameter, length, and spacing from substrate to substrate, they can expect to probe cell forces over several orders of magnitude, depending on the chosen substrate. The small diameter of the nanowires allows them to densely pack the array and to achieve unprecedented spatial resolution for future cell force-array applications.

Journal ArticleDOI
TL;DR: In this article, the effect of the oxide thickness on the screening length and the density of the screening charge in graphene was investigated and it was shown that thinner oxide leads to higher screening charge density in the graphene sheet and higher effectively biased area on graphene.
Abstract: Scanning capacitance microscopy (SCM) is used to probe on nanoscale the capacitive behavior of graphene deposited on a SiO2∕Si n+ substrate (with SiO2 thickness of 300 or 100nm). The SCM tip provides the contact on graphene, while the Si n+ substrate acts as the backgate contact in the graphene/SiO2∕Si capacitor. The authors studied the screening by the graphene two-dimensional electron gas on the modulating potential applied between the backgate and the SCM tip. In particular, they determined the effect of the oxide thickness on the lateral distribution (i.e., screening length) and the density of the screening charge in graphene. Experimental results indicate that thinner oxide leads to higher screening charge density in the graphene sheet and to higher effectively biased area on graphene. This correspondingly increases the total capacitance (Ctot) of the graphene/SiO2∕Si capacitor. We evaluated the dependence on the dielectric thickness of the classical metal-oxide-semiconductor (MOS) capacitance (CMOS)...

Journal ArticleDOI
TL;DR: In this article, the Schottky barrier height at the Pt/GaN interface was investigated in terms of inhomogeneities of the interface and/or of the electrically active defects present in the GaN epilayer, and the standard deviation of the local barrier height histogram was correlated with the dependence of the ideality factor on temperature.
Abstract: The current transport through Schottky contacts on wide band gap semiconductors (GaN and SiC) was studied on nanoscale by conductive atomic force microscopy. Two very different metal-semiconductor systems were investigated: (i) a uniform (∼5 nm thick) Pt contact on GaN, and (ii) a discontinuous contact formed by self-assembled Au nanoclusters on SiC. The local current-voltage (I-V) measurements allowed to demonstrate the “laterally inhomogeneous” electrical behavior of the Pt/GaN contact, which was formed by a distribution of nanoscale patches with different barrier heights. This behavior was explained in terms of the inhomogeneities of the Pt/GaN interface and/or of the electrically active defects present in the GaN epilayer. The standard deviation of the local barrier height histogram (σΦ) was correlated with the dependence of the ideality factor (n) on temperature, deduced from conventional I-V measurements at variable temperatures on macroscopic Pt/GaN diodes. The local Schottky barrier height at the ...