scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2010"


Journal ArticleDOI
TL;DR: In this article, the authors survey the current state of phase change memory (PCM), a nonvolatile solid-state memory technology built around the large electrical contrast between the highly resistive amorphous and highly conductive crystalline states in so-called phase change materials.
Abstract: The authors survey the current state of phase change memory (PCM), a nonvolatile solid-state memory technology built around the large electrical contrast between the highly resistive amorphous and highly conductive crystalline states in so-called phase change materials. PCM technology has made rapid progress in a short time, having passed older technologies in terms of both sophisticated demonstrations of scaling to small device dimensions, as well as integrated large-array demonstrators with impressive retention, endurance, performance, and yield characteristics. They introduce the physics behind PCM technology, assess how its characteristics match up with various potential applications across the memory-storage hierarchy, and discuss its strengths including scalability and rapid switching speed. Challenges for the technology are addressed, including the design of PCM cells for low reset current, the need to control device-to-device variability, and undesirable changes in the phase change material that c...

921 citations


Journal ArticleDOI
TL;DR: In this paper, thin nanocrystalline metal films with various crystal structures and self-diffusion coefficients were fabricated on two flat wafers using sputter deposition and shown to form complete crystalline grains across the original surfaces of the films, probably because of recrystallization occurring at the bonded interface.
Abstract: Bonding between two flat wafers with thin metal films was studied for 14 thin metal films with various crystal structures and self-diffusion coefficients. Thin nanocrystalline metal films were fabricated on two flat wafers’ surfaces using sputter deposition. Bonding of the two metal films on the wafers was accomplished immediately after film deposition in vacuum. For the films, Al, Au, Ag, Cu, Si, Co, Ni, Pt, Ti, Ru, Fe, Cr, Mo, and Ta were used. The wafers were bonded at room temperature over the entire bonded area (1 or 2 in. wafers of either Si or SiO2) using these metal films. Transmission electron microscopic cross-section images revealed that complete crystalline grains were formed across the original surfaces of the films, probably because of recrystallization occurring at the bonded interface when Al–Al, Ag–Ag, Au–Au, Cu–Cu, and Ti–Ti nanocrystalline films were bonded. A clear interface corresponding closely to the original film surface was visible in the bonded Pt–Pt films. However, fcc-(111) lat...

115 citations


Journal ArticleDOI
TL;DR: Siconi cleaning, a newly developed cleaning process for pre-Ni silicide cleaning at 65nm, enables superior cleaning performance, including selective removal of native oxide to minimize loss of Si and Ni, elimination of spikes and reduction of pipe defects at the NiSi∕Si interface, and elimination of the queue-time dependency as discussed by the authors.
Abstract: The existing Ar plasma sputter cleaning and dilute HF dip wet cleaning techniques have drawbacks, including critical dimension change, plasma damage, poor selectivity to oxides, vacuum breakage (causing oxide formation), and queue-time control. Siconi cleaning, a newly developed cleaning process for pre-Ni silicide cleaning at 65nm, enables superior cleaning performance, including (1) selective removal of native oxide to minimize loss of Si and Ni, (2) elimination of spikes and reduction of pipe defects at the NiSi∕Si interface, and (3) elimination of the queue-time dependency for improved productivity and simplification of fabrication. In this study, the chemical mechanism, hardware configuration, and Siconi integration results are described. The formation mechanisms for both spike and pipe defects are discussed, and practical solutions to these problems are addressed in detail. A significant reduction in junction leakage current, by three orders of magnitude, was observed. In addition, the pipe defect a...

87 citations


Journal ArticleDOI
TL;DR: In this paper, a step-by-step procedure for image correction of scanning probe microscopy data that is distorted by linear thermal drift is presented, which does not require any knowledge about size or shape of the imaged structures.
Abstract: A procedure is presented for image correction of scanning probe microscopy data that is distorted by linear thermal drift. The procedure is based on common ideas for drift correction, which the authors combine to a comprehensive step-by-step description of how to measure drift velocities in all three dimensions and how to correct the images using these velocities. The presented method does not require any knowledge about size or shape of the imaged structures. Thus, it is applicable to any type of scanning probe microscopy image, including images lacking periodic structures. Besides providing a simple, ready-to-use description of lateral and vertical drift correction, they derive all formulas needed from the model of linear drift.

72 citations


Journal ArticleDOI
TL;DR: GXSM is a full featured and modern scanning probe microscopy (SPM) software that can be used for powerful multidimensional image/data processing, analysis, and visualization.
Abstract: GXSM is a full featured and modern scanning probe microscopy (SPM) software. It can be used for powerful multidimensional image/data processing, analysis, and visualization. Connected to an instrument, it is operating many different flavors of SPM, e.g., scanning tunneling microscopy and atomic force microscopy or, in general, two-dimensional multichannel data acquisition instruments. The GXSM core can handle different data types, e.g., integer and floating point numbers. An easily extendable plug-in architecture provides many image analysis and manipulation functions. A digital signal processor subsystem runs the feedback loop, generates the scanning signals, and acquires the data during SPM measurements. The programmable GXSM vector probe engine performs virtually any thinkable spectroscopy and manipulation task, such as scanning tunneling spectroscopy or tip formation. The GXSM software is released under the GNU general public license and can be obtained via the internet.

69 citations


Journal ArticleDOI
TL;DR: In this article, the authors compare the silicon recess generated by continuous wave HBr/O2/Ar plasmas and synchronous pulsed HBr /O2 /Ar plaasmas, showing that the oxidized layer which leads to silicon recess can be reduced from 4 to 0.8 nm by pulsing the plasma in synchronous mode.
Abstract: Plasma oxidation of the c-Si substrate through a very thin gate oxide layer can be observed during HBr/O2/Ar based plasma overetch steps of gate etch processes. This phenomenon generates the so-called silicon recess in the channel and source/drain regions of the transistors. In this work, the authors compare the silicon recess generated by continuous wave HBr/O2/Ar plasmas and synchronous pulsed HBr/O2/Ar plasmas. Thin SiO2 layers are exposed to continuous and pulsed HBr/O2/Ar plasmas, reproducing the overetch process conditions of a typical gate etch process. Using in situ ellipsometry and angle resolved X-ray photoelectron spectroscopy, the authors demonstrate that the oxidized layer which leads to silicon recess can be reduced from 4 to 0.8 nm by pulsing the plasma in synchronous mode.

68 citations


Journal ArticleDOI
TL;DR: In this paper, several alternative ion beam technologies are explored and compared to Ga+ beam for key nanomachining and substrate interaction attributes, and thorough Monte Carlo simulations were conducted with various ion species incident on silicon and copper.
Abstract: Semiconductor manufacturing technology nodes will evolve to the 22, 15, and 11 nm generations in the next few years. For semiconductor nanomachining applications, further beam spot size scaling is required beyond what is capable by present generation Ga+ focused ion beam technology. As a result, continued Ga+ beam scaling and/or alternative beam technology innovations will be required. In this work, several alternative ion beam technologies are explored and compared to Ga+ beam for key nanomachining and substrate interaction attributes. First, thorough Monte Carlo simulations were conducted with various ion species incident on silicon and copper. Additionally, silicon and copper substrates were experimentally exposed to ion beams of helium, neon, and gallium. These substrates were subsequently analyzed to determine the sputter yields and subsurface damage.

58 citations


Journal ArticleDOI
TL;DR: In this paper, a plasmon-polariton waveguide for biosensing was fabricated and characterized physically and optically, which consists of thin patterned Au stripes embedded in thick Cytop claddings (∼8μm each).
Abstract: Long range surface plasmon-polariton waveguides and devices suitable for biosensing were fabricated and characterized physically and optically. The structures consist of thin (∼35 nm) patterned Au stripes embedded in thick Cytop claddings (∼8 μm each). Portions of Au stripes were exposed by patterning and etching though the top Cytop cladding using an O2 plasma etch. The etched Cytop cavities act as microfluidic channels to contain and direct the sensing fluid. Intermediate process steps were verified through physical characterization as were fully fabricated structures. Optical testing was performed on Cytop-embedded structures and on channel-filled (with sensing fluid) structures. The structures were excited through end-fire coupling to optical fibers.

57 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of colloidal dispersions of detonation nanodiamond (DND) in combination with polytetrafluoroethylene (PTFE), copper nanoparticles or a commercial additive Vanlube (non-phosphor containing anti-wear additive) is reported.
Abstract: Results of tribological testing of stable colloidal dispersions of detonation nanodiamond (DND) in combination with other lubricant additives in mineral oil, greases as well as in polyalphaolefin (PAO) oil are reported. The synergistic effect of combining DND with polytetrafluoroethylene (PTFE), copper nanoparticles or a commercial additive Vanlube (non-phosphor containing anti-wear additive) is reported. Testing of the formulations using ring-on-ring, shaft/bushing and four ball test techniques was performed. The test results reveal more than 80-90% decrease of friction coefficient, about 50% decrease of a diameter of wear spot as well as several times increase of extreme pressure failure load for certain formulations. A strong synergistic effect when using a combination of DND/PTFE additives was observed by a sharp decrease of friction coefficient. It was also demonstrated that the use of smaller DND aggregate size (10nm versus 120nm) resulted in better lubricating performance of PAO-based oil formulation.

54 citations


Journal ArticleDOI
TL;DR: In this paper, the micro four-point probe (M4PP) has been demonstrated as a reliable high precision metrology method for both sheet resistance and Hall effect measurements of ultra-shallow implants and has revealed a promising potential for carrier profiling.
Abstract: Electrical characterization of ultra-shallow junctions, relying on advanced implant and anneal processes, has received much attention in the past few years since conventional characterization methods fail. With continued scaling of semiconductor devices, the problems associated with conventional techniques will become even more evident. In several recent studies micro four-point probe (M4PP) has been demonstrated as a reliable high precision metrology method for both sheet resistance and Hall effect measurements of ultra-shallow implants and has revealed a promising potential for carrier profiling.

50 citations


Journal ArticleDOI
TL;DR: In this article, the exposure mechanism using vibrational spectroscopy (both Raman and Fourier transform infrared) and electron-beam-induced desorption (EBID) was investigated.
Abstract: Hydrogen silsesquioxane (HSQ) is used as a high-resolution resist with resolution down below 10 nm half-pitch. This material or materials with related functionalities could have widespread impact in nanolithography and nanoscience applications if the exposure mechanism was understood and instabilities controlled. Here we have directly investigated the exposure mechanism using vibrational spectroscopy (both Raman and Fourier-transform infrared) and electron-beam-induced desorption spectroscopy (EBID). In the non-networked HSQ system, silicon atoms sit at the corners of a cubic structure. Each silicon is bonded to a hydrogen atom and bridges three oxygen atoms (formula: HSiO3/2). For the first time, we have shown, via changes in the SiH2 peak at ∼2200 cm−1 in the Raman spectra and the release of SiHx products in EBID, that electron-beam-exposed material cross-links via a redistribution reaction. In addition, we observe the release of significantly more H2 than SiH2 during EBID, which is indicative of addit...

Journal ArticleDOI
TL;DR: In this paper, the authors used a Nd:YAG (YAG denotes yttrium aluminum garnet) laser to irradiate single and multi-walled CNTs intercalated with potassium, and the resultant energy distributions of photo and thermionic emitted electrons were measured using a hemispherical electron energy analyzer over a wide range of temperatures.
Abstract: Carbon nanotubes (CNTs) are promising candidates to create new thermionic- and photoemission materials. Intercalation of CNTs with alkali metals, such as potassium, greatly reduces their work functions, and the low electron scattering rates of small-diameter CNTs offer the possibility of efficient photoemission. This work uses a Nd:YAG (YAG denotes yttrium aluminum garnet) laser to irradiate single- and multiwalled CNTs intercalated with potassium, and the resultant energy distributions of photo- and thermionic emitted electrons are measured using a hemispherical electron energy analyzer over a wide range of temperatures. For both single- and multiwalled CNTs intercalated with potassium, the authors observe a temperature dependent work function that has a minimum of approximately 2.0 eV at approximately 600 K. At temperatures above 600 K, the measured work function values increase with temperature presumably due to deintercalation of potassium atoms. Laser illumination causes the magnitudes of collected e...

Journal ArticleDOI
TL;DR: In this paper, the authors adopted transmission-electron and atomic-force microscopies to improve the metrological accuracy and to analyze the resolution limit of electron-beam lithography.
Abstract: Exploring the resolution limit of electron-beam lithography is of great interest both scientifically and technologically. However, when electron-beam lithography approaches its resolution limit, imaging and metrology of the fabricated structures by using standard scanning electron microscopy become difficult. In this work, the authors adopted transmission-electron and atomic-force microscopies to improve the metrological accuracy and to analyze the resolution limit of electron-beam lithography. With these metrological methods, the authors found that sub-5 nm sparse features could be readily fabricated by electron-beam lithography, but dense 16 nm pitch structures were difficult to yield. Measurements of point- and line-spread functions suggested that the resolution in fabricating sub-10 nm half-pitch structures was primarily limited by the resist-development processes, meaning that the development rates depended on pattern density and/or length scale.

Journal ArticleDOI
TL;DR: In this article, a method to fabricate suspended slab waveguides in lithium niobate by combining ion implantation, focused ion beam milling, and selective wet etching techniques is presented.
Abstract: Suspended waveguides have been widely applied to silicon-on-insulator structures because they are easily fabricated with processing techniques similar to those of integrated circuit design. However, it is difficult to fabricate such structures in lithium niobate, which is also a very important material for optoelectronics. One main challenge is the difficulty of etching lithium niobate. In this work, the authors show a method to fabricate suspended slab waveguides in lithium niobate by combining ion implantation, focused ion beam milling, and selective wet etching techniques. The method does not involve wafer bonding or crystal ion slicing and is entirely monolithic. Lattice damage can be introduced to a buried thin layer of a certain depth beneath the sample surface by ion implantation, resulting in a considerable wet etching selectivity to bulk material. The etching rate has been investigated to control the size of the suspended membrane. Fabrication of suspended photonic crystal waveguides has also been demonstrated. The results show an effective method of fabricating suspended devices in lithium niobate, which enables new applications such as waveguides, modulators, and infrared detectors.

Journal ArticleDOI
TL;DR: In this article, a refined high vacuum scanning spreading resistance microscopy (HV-SSRM) electromechanical nanocontact model based on experimental results as well as molecular dynamics simulation results was proposed.
Abstract: Within this paper, the authors propose a refined high vacuum scanning spreading resistance microscopy (HV-SSRM) electromechanical nanocontact model based on experimental results as well as molecular dynamics (MD) simulation results. The formation under the tip of a nanometer-sized pocket of β-tin, a metastable metalliclike phase of silicon (also named Si-II), acting as a virtual probe is demonstrated. This gives a reasonable explanation for the superior SSRM spatial resolution as well as for the electrical properties at the Schottky-like SSRM contact. Moreover, the impact of the doping concentration on the plastic deformation of silicon for different species using micro-Raman combined with indentation experiments is studied. In order to elucidate the superior results of SSRM measurements when performed under high vacuum conditions, the impact of humidity on the mechanical deformation and Si-II formation is also analyzed using MD and SSRM experimental results.

Journal ArticleDOI
TL;DR: In this paper, dopant segregation (DS), surface passivation (SP), and alloying have been investigated for reducing the Schottky barrier height (SBH) of NiSi in order to improve the carrier injection into the conduction channel of a field effect transistor.
Abstract: This work has a focus on NiSi as a possible metallic contact for aggressively scaled complementary metal oxide semiconductor devices. As the bulk work function of NiSi lies close to the middle of Si bandgap, the Schottky barrier height (SBH) of NiSi is rather large for both electron (∼0.65eV) and hole (∼0.45eV). Different approaches have therefore been intensively investigated in the literature aiming at reducing the effective SBH: dopant segregation (DS), surface passivation (SP), and alloying, in order to improve the carrier injection into the conduction channel of a field-effect transistor. The present work explores DS using B and As for the NiSi∕Si contact system. The effects of C and N implantation into Si substrate prior to the NiSi formation are examined, and it is found that the presence of C yields positive effects in helping reduce the effective SBH to 0.1–0.2eV for both conduction polarities. A combined use of DS or SP with alloying could be considered for more effective control of effective SB...

Journal ArticleDOI
TL;DR: In this paper, local dielectric spectroscopy is performed to study how relaxation dynamics of a polyvinyl-acetate ultrathin film is influenced by inorganic nanoinclusions of a layered silicate (montmorillonite).
Abstract: Local dielectric spectroscopy is performed to study how relaxation dynamics of a polyvinyl-acetate ultrathin film is influenced by inorganic nanoinclusions of a layered silicate (montmorillonite). Dielectric-loss spectra are measured by electrostatic-force microscopy in the frequency-modulation mode in ambient air. Spectral changes in both shape and relaxation time are evidenced across the boundary between pure polymer and montmorillonite sheets. Dielectric-loss imaging is also performed, evidencing spatial variations of dielectric properties near nanostructures with nanometer-scale resolution.

Journal ArticleDOI
TL;DR: In this article, the authors evaluated photoresist stripping processes that are compatible with ultralow dielectric constant (ULK) materials using H2-based remote plasmas generated in an inductively coupled plasma reactor.
Abstract: The authors evaluated photoresist (PR) stripping processes that are compatible with ultralow dielectric constant (ULK) materials using H2-based remote plasmas generated in an inductively coupled plasma reactor The materials used were 193 nm PR and nanoporous SiCOH-based ULK (JSR LKD 5109) PR ashing rates and ULK damage (carbon depletion) were measured for H2, H2/N2, and H2/Ar discharges as a function of substrate temperature over the range of 200–275 °C They employed ellipsometry, x-ray photoelectron spectroscopy (XPS), optical emission spectroscopy, and time-of-flight secondary ion mass spectroscopy (ToF-SIMS) for analysis For their H2 remote plasmas and a substrate temperature in the range of 200–275 °C, the PR ashing rate varied from 270 to 880 nm/min, whereas 3–5 nm of ULK damage was measured for 20 s remote plasma exposure As a useful process metric, they defined ashing efficiency as the thickness of PR removed over the thickness of ULK simultaneously damaged PR stripping processes can be optim

Journal ArticleDOI
TL;DR: To fabricate geometrically uniform structures using PEG or PEG diacrylate polymers, these hydrogel structures have been characterized by scanning electron microscope, atomic force microscopy, optical microscopy and attenuated total reflection Fourier-transform infrared spectroscopy confirming control of chemistry, size, and shape.
Abstract: Soft-polymer based microparticles are currently being applied in many biomedical applications, ranging from bioimaging and bioassays to drug delivery carriers. As one class of soft-polymers, hydrogels are materials, which can be used for delivering drug cargoes and can be fabricated in controlled sizes. Among the various hydrogel-forming polymers, poly(ethylene glycol) (PEG) based hydrogel systems are widely used due to their negligible toxicity and limited immunogenic recognition. Physical and chemical properties of particles (i.e., particle size, shape, surface charge, and hydrophobicity) are known to play an important role in cell-particle recognition and response. To understand the role of physicochemical properties of PEG-based hydrogel structures on cells, it is important to have geometrically precise and uniform hydrogel structures. To fabricate geometrically uniform structures, we have employed electron beam lithography (EBL) and ultra-violet optical lithography (UVL) using PEG or PEG diacrylate polymers. These hydrogel structures have been characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), optical microscopy, and attenuated total reflection Fourier-transform infrared spectroscopy (ATR-FTIR) confirming control of chemistry, size, and shape.

Journal ArticleDOI
TL;DR: In this paper, the formation of step bunches separated laterally by ≳10μm was observed, and a disordered, secondary graphitic phase on the surface of the graphene was identified.
Abstract: The formation of graphene on the (0001) surface of SiC (the Si-face) is studied by atomic force microscopy, low-energy electron microscopy, and scanning tunneling microscopy/spectroscopy. The graphene forms due to preferential sublimation of Si from the surface at high temperature, and the formation has been studied in both high-vacuum and 1 atm argon environments. In vacuum, a few monolayers of graphene forms at temperatures around 1400 °C, whereas in argon a temperature of about 1600 °C is required in order to obtain a single graphene monolayer. In both cases considerable step motion on the surface is observed, with the resulting formation of step bunches separated laterally by ≳10 μm. Between the step bunches, a layer-by-layer growth of the graphene is found. The presence of a disordered, secondary graphitic phase on the surface of the graphene is also identified.

Journal ArticleDOI
TL;DR: In this paper, the effect of SiOx passivation layers on the bias stability of bottom gate amorphous (α-) InGaZnO4 thin film transistors (TFTs) fabricated on glass substrates was investigated.
Abstract: The authors investigated the effect of SiOx passivation layers on the bias stability of bottom gate amorphous (α-) InGaZnO4 thin film transistors (TFTs) fabricated on glass substrates. The use of rapid thermal annealing for unpassivated TFTs in air improved the device performance, showing larger drain current and field effect mobility compared to the as-fabricated TFTs. Threshold voltage (VTH) and subthreshold gate-voltage swing (S) for both unpassivated and passivated devices were found to be nearly independent of the low-gate-voltage stress (5V), but both were strongly affected under a relatively high-voltage stress (>10V). The positive VTH and S shifts after constant gate voltage stress (+20V) of 1000s were 1.8V and 0.72Vdecade−1 for the unpassivated devices and 1V and 0.42Vdecade−1 for the passivated devices, respectively. These results demonstrate that the SiOx passivation layer significantly reduced the shift in TFT’s characteristics.

Journal ArticleDOI
TL;DR: In this paper, the authors presented the first results obtained with their multibeam scanning electron microscope, which consists of an FEI Novanano 200 SEM optics column equipped with a multielectron beam source module.
Abstract: The authors present the first results obtained with their multibeam scanning electron microscope. For the first time, they were able to image 196 (array of 14×14) focused beams of a multielectron beam source on a specimen using single beam scanning electron microscope (SEM) optics. The system consists of an FEI Novanano 200 SEM optics column equipped with a multielectron beam source module. The source module consists of the multibeam source and an accelerator lens. In the multibeam source, the wide angle beam of a high brightness Schottky source is divided into 196 beamlets and focused by an aperture lens array. The accelerator lens is positioned on the image plane of the multibeam source to direct the beams toward the SEM column. The array of source images is further imaged by the SEM magnetic lenses, and the beam opening angle is defined at the variable aperture of the SEM. The system is designed to deliver 14×14 arrays of beamlets with a minimum probe size of 1 nm. In this article, the performance of the system is examined for a fixed magnification case.

Journal ArticleDOI
TL;DR: In this article, a GaN/GaN multiquantum well light-emitting diodes (LED) with emission wavelength of 450 nm were irradiated with average energy of 9.8 MeV and dose of 5.5×1011 cm−2 neutrons.
Abstract: InGaN/GaN multiquantum well light-emitting diodes (LED) with emission wavelength of 450 nm were irradiated with average energy of 9.8 MeV and dose of 5.5×1011 cm−2 neutrons. Right after irradiation, the forward current of the irradiated LEDs was decreased as a result of the creation of deep levels by the neutron-induced lattice displacement. However, unstable lattice damages resulting from the collisions with the incoming neutrons were removed at room temperature 6 days after the irradiation. The diode turn-on voltage, ideality factor, and optical emission intensity were recovered to preirradiated state by self-annealing process at room temperature.

Journal ArticleDOI
TL;DR: In this paper, a transformer coupled plasma reactor was used to study the plasma damage of SiCOH low-k films in an oxygen plasma using three different conditions: (1) bottom power only, (2) bottom and top power, and (3) top power only.
Abstract: Plasma damage of SiCOH low-k films in an oxygen plasma is studied using a transformer coupled plasma reactor. The concentration of oxygen atoms and O2+ ions is varied by using three different conditions: (1) bottom power only, (2) bottom and top power, and (3) top power only. After plasma exposure, the low-k samples are characterized by various experimental techniques. It is shown that the ion bombardment induced by the bottom power minimizes the plasma damage by increasing the recombination coefficient of oxygen radicals. Contrary to the expectations, the densification of the top surface by ion radiation was limited. The increase in the recombination coefficient is mainly provided by modification of the pore wall surface and creation of chemically active sites stimulating the recombination of oxygen atoms. The results show that a reduction in plasma damage can be achieved without sealing of low-k top surface.

Journal ArticleDOI
TL;DR: In this paper, the authors used seed layers to control the polarity of sputter-deposition AlN(001) thin films using a metal-organic chemical-vapor deposition seed layer.
Abstract: The authors report on the ability to control the polarity of sputter deposited AlN(001) thin films using seed layers. Reactive sputter deposition leads to N-polarity on any substrate hitherto applied, i.e., Si(111), sapphire, SiO2, and polycrystalline metals such as Pt(111), Mo(110), and W(110). A site-controlled polarity allows for an efficient excitation of shear modes of surface, bulk, and Lamb waves by interdigitated electrodes. The authors were able to introduce the Al-polarity through a metal-organic chemical-vapor deposition seed layer. By subsequently patterning the substrate surface, it was possible to define the desired film polarity of sputter deposited AlN film. Polarities were determined by selective etching with KOH solutions and by piezoresponse force microscopy.

Journal ArticleDOI
TL;DR: In this paper, the interaction of H atoms with the curved concentric graphene walls of a multi-wall carbon nanotube and the stacked planar graphene sheets of graphite was investigated using a combination of high resolution transmission electron microscopy (HRTEM) in conjunction with electron energy-loss and Raman spectroscopies.
Abstract: The interaction of H atoms with the curved concentric graphene walls of a multiwall carbon nanotube and the stacked planar graphene sheets of graphite was investigated using a combination of high resolution transmission electron microscopy (HRTEM) in conjunction with electron energy-loss and Raman spectroscopies. Continuous cylindrical graphene walls of a nanotube are etched and amorphized by the H atoms. Etching is not uniform across the length of the CNT but rather, small etch pits form at defective sites on the CNT walls along the entire nanotube length. Once an etch pit is formed, etching proceeds rapidly, and the remainder of the CNT is quickly etched away. The carbon K core-loss edge spectra collected from etch pits do not differ from the spectra collected from pristine CNT walls, indicating that reactions occur exclusively at the exposed graphene edges. Similar observations were made when sheets of planar graphite were exposed to H atoms. Confocal Raman spectroscopic measurements revealed that H et...

Journal ArticleDOI
TL;DR: In this article, the effects of the scratch parameters, including the applied tip force and scratch direction, on the size of the scratched geometry were investigated and the primary factors that measure the scratchability were then assessed.
Abstract: Experiments using atomic force microscopy (AFM) as a machining tool for scratching patterns on nickel thin films have been conducted with an emphasis on establishing the material scratchability or more general, the nanoscale machinability. The effects of the scratch parameters, including the applied tip force and scratch direction, on the size of the scratched geometry were investigated. The primary factors that measure the scratchability were then assessed. The scratchability of Ni as compared to that of Si was specifically evaluated and discussed. A stress-hardness analysis was also performed to further validate the experimental and correlation results. All results indicate that the Ni thin film possesses excellent scratchability and one order of magnitude higher than that of Si. Based on the correlation formula developed, Ni should be able to be precisely scratched by AFM tip with the required dimension and nanoscale accuracy and precision.

Journal ArticleDOI
TL;DR: In this paper, an analytical model for the growth of nanopillars by helium ion-beam-induced deposition is presented and compared to experimental data, which describes the competition between pillar growth in vertical and lateral directions.
Abstract: An analytical model for the growth of nanopillars by helium ion-beam-induced deposition is presented and compared to experimental data. This model describes the competition between pillar growth in vertical and lateral directions. It assumes that vertical growth is induced by incident primary ions and type-1 secondary electrons, whereas lateral growth is induced by scattered ions and type-2 secondary ions. An essential element of the model is the notion that depletion of adsorbed precursor molecules occurs only at the pillars’ apex. Depletion impedes vertical growth at the apex, allowing more time for lateral outgrowth of the pillar’s sidewalls. The model describes qualitatively the trends in measured vertical, lateral, and volumetric growth rates of PtC pillars as functions of the ion-beam current. It can be used to design growth experiments and Monte Carlo simulations.

Journal ArticleDOI
TL;DR: In this article, the effect of He/H2 downstream plasma (DSP) on the mechanical properties of SiCOH low-k films was studied using nanoindentation with the continuous-stiffness measurement technique.
Abstract: The effect of He/H2 downstream plasma (DSP) on the mechanical properties of plasma enhanced chemical vapor deposition SiCOH low-k films was studied using nanoindentation (NI) with the continuous-stiffness measurement technique. Furthermore, the main requirements for reliable NI measurements on plasma-modified low-k films are discussed. The results show that the mechanical properties of these films are intimately linked with their porosity and that exposure to He/H2 DSP causes a change in both the porosity and the mechanical properties of the films. This change is related to the removal of porogen residue formed during the ultraviolet curing of the low-k film.

Journal ArticleDOI
TL;DR: A threshold reverse bias of ∼21 V was observed leading to a sharp increase in the gate current of AlGaN/GaN high electron mobility transistors biased at low source-drain voltage (5 V).
Abstract: A threshold reverse bias of ∼21 V was observed leading to a sharp increase in the gate current of AlGaN/GaN high electron mobility transistors biased at low source-drain voltage (5 V). The gate current increases by one to two orders of magnitude at this bias, corresponding to an electric field strength around 1.8 MV cm−1. The gate current increased by roughly five orders of magnitude after step-stressing the gate bias from 10 to 42 V in 1 V increments for 1 min at each bias. The drain current was also decreased by ∼20% after this step-stress cycle. The photoluminescence and electroluminescence intensity from the semiconductor is decreased along the periphery of the gate region after stressing and transmission electron microscopy shows a thin native oxide layer under the gate and this disappears as the gate metal reacts with the underlying AlGaN.