scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2011"


Journal ArticleDOI
TL;DR: In this paper, an organic film-based image is produced, which is subsequently transferred by plasma etching techniques into underlying films/substrates to produce nanoscale materials templates.
Abstract: Photolithographic patterning of organic materials and plasma-based transfer of photoresist patterns into other materials have been remarkably successful in enabling the production of nanometer scale devices in various industries. These processes involve exposure of highly sensitive polymeric nanostructures to energetic particle fluxes that can greatly alter surface and near-surface properties of polymers. The extension of lithographic approaches to nanoscale technology also increasingly involves organic mask patterns produced using soft lithography, block copolymer self-assembly, and extreme ultraviolet lithographic techniques. In each case, an organic film-based image is produced, which is subsequently transferred by plasma etching techniques into underlying films/substrates to produce nanoscale materials templates. The demand for nanometer scale resolution of image transfer protocols requires understanding and control of plasma/organic mask interactions to a degree that has not been achieved. For manufa...

174 citations


Journal ArticleDOI
TL;DR: In this article, the etch resistance of electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and ZEP520A, is increased significantly by sequential infiltration synthesis (SIS).
Abstract: The etch resistance of electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and ZEP520A, is increased significantly by sequential infiltration synthesis (SIS). This process infiltrates the bulk of the resist film with alumina, rendering it resistant to plasma etching. The enhanced etch resistance eliminates the need for an intermediate hard mask and the associated process costs and pattern fidelity losses. Furthermore, the improvement is realized with no degradation to the line-edge roughness of lithographically defined patterns. The enhancement in etch resistance is especially strong at the edges of the printed lines, owing to diffusion of the SIS precursors from the resist sidewalls. These improvements enable the anisotropic transfer of sub-100 nm patterns deeply into silicon without the need for an intermediate hard mask.

156 citations


Journal ArticleDOI
TL;DR: In this paper, the design and fabrication of nanobeam photonic crystal cavities in single crystal diamond for applications in cavity quantum electrodynamics was presented, which would allow for strong light-matter interaction.
Abstract: We present the design and fabrication of nanobeam photonic crystal cavities in single crystal diamond for applications in cavity quantum electrodynamics. First, we describe three-dimensional finite-difference time-domain simulations of a high quality factor (Q∼106) and small mode volume [V∼0.5(λ/n)3] cavity whose resonance corresponds to the zero-phonon transition (637 nm) of the nitrogen-vacancy color center in diamond. This high Q/V structure, which would allow for strong light-matter interaction, is achieved by gradually tapering the size of the photonic crystal holes between the defect center and the mirror regions of the nanobeam. Next, we demonstrate two different focused ion beam (FIB) fabrication strategies to generate thin diamond membranes and nanobeam photonic crystal resonators from a bulk crystal. These approaches include a diamond crystal “side-milling” procedure as well as an application of the “lift-out” technique used in transmission electron microscopy sample preparation. Finally, we dis...

102 citations


Journal ArticleDOI
TL;DR: In this paper, a directed self-assembly (DSA) process of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) was proposed to meet the throughput and material-related requirements of a semiconductor manufacturing environment.
Abstract: This study modifies the authors’ previously reported directed self-assembly (DSA) process of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) in order to meet the throughput and material-related requirements of a semiconductor manufacturing environment. It is demonstrated that all of the bottleneck steps in the authors’ DSA process, including the deposition of the cross-linkable mat and the deposition of the brush layer, can be done in minutes on a hot plate in an N2 atmosphere, which simulates the processing environment of a lithography track module. A 25-nm-pitch pattern resulting from a 4:1 density multiplication was demonstrated with a manufacturing-compatible organic solvent. A preliminary uniformity study on 300 mm wafers was also presented. The modified DSA process presents a viable solution to some of the anticipated throughput-related challenges to DSA commercialization and thus, brings integration of DSA within reach of the semiconductor manufacturing industry.

65 citations


Journal ArticleDOI
TL;DR: In this article, transmission electron microscopy studies of GaAs1−xBix layers grown at low temperature by molecular beam epitaxy have revealed evidence of both atomic ordering and phase separation.
Abstract: Transmission electron microscopy studies of GaAs1−xBix layers grown at low temperature by molecular beam epitaxy have revealed evidence of both atomic ordering and phase separation. In layers containing up to ∼10% Bi, the two variants of CuPtB-type atomic ordering on {111}B planes were observed and this is believed to be associated with the surface reconstruction present during growth. In a sample containing ∼13% Bi, no atomic ordering was observed but instead an anisotropic platelike structure was present that is believed to result from phase separation, possibly associated with the surface segregation of excess Bi during growth. Both of these effects are expected to have significant effects on the electrical and optical properties of the material.

65 citations


Journal ArticleDOI
TL;DR: In this paper, focused electron-beam-induced Pt deposition from a gaseous (CH3)3CH3C5H4Pt precursor was used for the fabrication of electrically conductive structures consisting of Pt nanocrystals embedded in a carbon containing matrix.
Abstract: The authors use focused electron-beam-induced Pt deposition from a gaseous (CH3)3CH3C5H4Pt precursor for the fabrication of electrically conductive structures consisting of Pt nanocrystals embedded in a carbon containing matrix. Recently it has been demonstrated that the electrical resistivity of such deposits can be strongly improved via postgrowth electron irradiation. This study shows very strong evidence that incompletely and nondissociated precursor molecules incorporated within the deposits during deposition are the key elements for efficient e-beam curing. During the early stages of e-beam curing these fragments are further dissociated, which leads to slight growth of the Pt nanocrystals. This is further supported by variable growth regime experiments during deposition which can be used to enhance the incorporation of incompletely and nondissociated precursor molecules, resulting in higher curing efficiencies and lower electrical resistivities. The absence of a predominant graphitization of the surrounding carbon matrix during this dissociation dominated curing regime suggests strongly that the observed resistivity decrease is mainly caused by the formation of preferred tunnel percolation paths due to reduced intercrystallite distances. Furthermore, it is shown that deposit height and the electron-beam energy used for curing should be adapted to each other to achieve the fastest curing time and the lowest electrical resistivities. Such optimized procedures allow then for curing rates higher than 1.5 μm2 min−1 and resistivity decreased to 5 ± 0.4 × 104 μΩ cm, representing an improvement of up to 3 orders of magnitude.

63 citations


Journal ArticleDOI
TL;DR: In this article, a tungsten-hardmask-based diamond dry-etch process was proposed for fabricating diamond zone plate lenses with a high aspect ratio. But the results were limited to a scanning microscope arrangement showing sub-100nm resolution.
Abstract: The authors report on a new tungsten-hardmask-based diamond dry-etch process for fabricating diamond zone plate lenses with a high aspect ratio. The tungsten hardmask is structured by electron-beam lithography, together with Cl2/O2 and SF6/O2 reactive ion etching in a trilayer resist-chromium-tungsten stack. The underlying diamond is then etched in an O2 plasma. The authors demonstrate excellent-quality diamond gratings with half-pitch down to 80 nm and a height of 2.6 μm, as well as zone plates with a 75 μm diameter and 100 nm outermost zone width. The diffraction efficiency of the zone plates is measured to 14.5% at an 8 keV x-ray energy, and the imaging properties were investigated in a scanning microscope arrangement showing sub-100-nm resolution. The imaging and thermal properties of these lenses make them suitable for use with high-brightness x-ray free-electron laser sources.

62 citations


Journal ArticleDOI
TL;DR: In this article, the authors presented a study that was supported by the Nanometer Structure Consortium at Lund University nmC@LU, the SwedishFoundation for Strategic Research SSF and the Swedish Research Council VR, and the Knut and Alice Wallenberg======
Abstract: This work was supported by the Nanometer Structure Consortium at Lund University nmC@LU, the Swedish Foundation for Strategic Research SSF, the Swedish Research Council VR, and the Knut and Alice Wallenberg Foundation.

52 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate electron-beam-induced deposition of few-nm-width dense features on bulk samples by using a scanning electronbeam lithography system. And they demonstrate that three steps were taken: (1) features were exposed in a repetitive sequence, so as to build up the deposited features gradually across the entire pattern, and thus avoid proximity effects; (2) an additional delay was added between exposures to permit diffusion of reactants into the exposed area; and (3) the exposures were phase-synchronized to the dominant noise source (the 50
Abstract: This paper demonstrates electron-beam-induced deposition of few-nm-width dense features on bulk samples by using a scanning electron-beam lithography system. To optimize the resultant features, three steps were taken: (1) features were exposed in a repetitive sequence, so as to build up the deposited features gradually across the entire pattern, and thus avoid proximity effects; (2) an additional delay was added between exposures to permit diffusion of reactants into the exposed area; and (3) the exposures were phase-synchronized to the dominant noise source (the 50-Hz line voltage) to minimize the effect of noise. The reasons these steps led to significant improvements in patterning resolution are discussed.

48 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report a thorough experimental comparison of the performance of PMMA 950k and ZEP 520A resists in MIBK:IPA, ZED, and IPA:water developers.
Abstract: A modern alternative to the positive-tone PMMA resist is the ZEP 520A (Nippon Zeon) brand co-polymer resist, which offers a higher sensitivity and etch durability for electron beam lithography. However, the molecular mechanisms are not entirely understood, and the relative performance of two resists for various process conditions of nanofabrication is not readily predictable. The authors report a thorough experimental comparison of the performance of PMMA 950k and ZEP 520A resists in MIBK:IPA, ZED, and IPA:water developers. Interestingly, ZEP resist performance was found to depend significantly on the developer. ZED developer increases the sensitivity, whereas IPA:water optimizes line edge roughness and conceivably the resolution at the expense of sensitivity. The authors also describe two alternative numerical models, one assuming an enhancement of the main chain scission in ZEP as a result of electronic excitations in side groups, and another without such enhancement. In the second case, the differences in ZEP and PMMA resists performance are attributed to their different interaction with the developers. Using both approaches, the authors parameterize the respective models of ZEP development by fitting numerical results to the experimental resist morphologies, and analyze the outcomes.

46 citations


Journal ArticleDOI
TL;DR: In this article, the authors focus on a BCP that produces hexagonally packed arrays of cylinders oriented perpendicular to the substrate with the copolymer film thickness greater than the trench depth.
Abstract: In this paper we report a synoptic methodology to evaluate and optimize the long-range order induced by graphoepitaxy of block copolymer (BCP) self-assembly. The authors focus the study on a BCP that produces hexagonally packed arrays of cylinders oriented perpendicular to the substrate with the copolymer film thickness greater than the trench depth. Prepatterned structures used in the graphoepitaxy approach have been generated by e-beam lithography on a commercial hydrogen silesquioxane resist. A suitable surface modification was accomplished by grafting a random polystyrene-r-poly(methyl methacrylate) copolymer on the prepatterned surfaces. The polystyrene-b-poly(methyl methacrylate) was spin-coated and annealed in order to generate the desired self-assembly. Since the self-assembly process is based on a thermodynamic mechanism, the induced defectivity needs to be reassessed with respect to the standard lithographic process. Using the cylinder center coordinates, a Delaunay triangulation is performed to find the nearest neighbors. This triangulation enables us to easily locate the disclinations which are characterized by having a number of nearest neighbors different from six. Thus, the number of defects can be quantified precisely. Additionally, this methodology affords an accurate evaluation of both the optimum mesa and trench critical dimensions yielding defect-free surfaces and may be extended to monitor the robustness of the BCP directed self-assembly process. Such diagnostics are critical in the implementation of large scale industrial processes.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate bipolar resistive switching in TiN/HfO2/Ti(top)/TiN devices using a (Bi) complementary metal-oxide semiconductor (CMOS) compatible technology process.
Abstract: The authors demonstrate bipolar resistive switching in TiN/HfO2/Ti(top)/TiN devices using a (Bi) complementary metal-oxide semiconductor (CMOS) compatible technology process. The device performance includes a cycling endurance in dc sweeping mode >103. The results suggest that HfO2-based metal-insulator-metal devices with Si CMOS compatible metal electrodes may be well suited for future embedded nonvolatile memory applications. However, hysteretic current-voltage characteristics were only observed for a Ti top adlayer, whereas a Ti bottom adlayer integration did not show any resistive switching effect. Using x-ray photoelectron spectroscopy, the authors examined the interface chemistry of the Ti/HfO2 interface. It is clearly observed that Ti top adlayer deposition results in an increased nitrogen- and oxygen-gettering activity in contrast to Ti bottom adlayer. It follows that the formation of a nonstoichiometric HfO2 layer at the Ti/HfO2 interface is crucial for resistive switching.

Journal ArticleDOI
TL;DR: In this article, a systematic approach was applied to achieve strain compensated 16 monolayers (MLs) InAs/7 MLs GaSb SLs aimed for infrared detection.
Abstract: In InAs/GaSb superlattices (SLs) designed for infrared detection, the interfacial layers comprise approximately 10%–15% of the heterostructure. As interdiffusion into the InAs and GaSb layers is considered, this percentage is expected to be even higher. Although the primary goal for engineering these transient layers is to balance the SL strain to the GaSb substrate, the interfacial quality can impact the performance of the SL in other ways as well. Many believe that the majority of nonradiative defects that shorten carrier lifetime can be generated from the SL interfaces or regions near them due to the poor interface engineering. Because the degree of lattice mismatch tends to be higher in very long wavelength infrared InAs/GaSb designs, the approach tuning growth parameters to optimize the strain balancing process is different from that for midinfrared SLs. To investigate this optimization, a systematic approach was applied to achieve strain compensated 16 monolayers (MLs) InAs/7 MLs GaSb SLs aimed for ...

Journal ArticleDOI
TL;DR: In this paper, the influence of different gate metal deposition processes on the electrical characteristics of dielectric/III-V interfaces is investigated, and it is shown that metal-oxide-semiconductor capacitors with electron beam evaporated electrodes exhibit substantially larger midgap interface trap densities than those with thermally evaporation electrodes.
Abstract: The influence of different gate metal deposition processes on the electrical characteristics of dielectric/III-V interfaces is investigated. Al2O3 and HfO2 dielectrics are grown on In0.53Ga0.47As channels and top metal electrodes are deposited by either thermal evaporation or electron beam deposition. It is shown that metal-oxide-semiconductor capacitors with electron beam evaporated electrodes exhibit substantially larger midgap interface trap densities than those with thermally evaporated electrodes. The damage caused by electron beam metallization can be mitigated by subsequent, long anneals in forming gas.

Journal ArticleDOI
TL;DR: In this paper, the effect of narrowband 172 nm and broadband >200 nm UV sources in the new curing scheme of the plasma-enhanced chemical vapor deposition (PECVD) dielectrics is studied.
Abstract: The effect of narrow-band 172 nm and broad-band >200 nm UV sources in the new curing scheme of the plasma-enhanced chemical vapor deposition (PECVD) dielectrics is studied. The new curing scheme is based on porogen removal (organic sacrificial phase introduced to generate open porosity) from PECVD dielectric before its final UV curing. The results are compared with the PECVD films fabricated in the conventional scheme in which porogen is still present during the UV curing. The same curing time of porogen-containing conventional PECVD films with 172 nm and >200 nm UV sources results in only 10% difference in their Young’s modulus (YM): 5.84 and 5.32 GPa, respectively. However, the porogen-free films cured with 172 nm UV source show a YM of 6.64 GPa (k100 kHz∼2.2, 44% open porosity), approximately twice as large as those cured with >200 nm UV having a YM of 3.38 GPa (k100 kHz∼2.0, 48% open porosity). The mechanical properties, optical properties in the range of 150–800 nm, dielectric constants at 100 kHz an...

Journal ArticleDOI
TL;DR: In this article, a novel fabrication method utilizing conventional photolithography was used to easily fabricate a new nanoelectromechanical system strain gauge, which consists of mechanically exfoliated graphene sheets and electrical electrodes located on a silicon wafer.
Abstract: The primary objective of this research is to fabricate a graphene-based piezoresistive strain gauge and characterize its sensitivity. The strain gauge consists of mechanically exfoliated graphene sheets and electrical electrodes located on a silicon wafer. Instead of using e-beam lithography, which is the most widely applied methods in experimental studies of graphene, a new fabrication method utilizing conventional photolithography was used to easily fabricate a new nanoelectromechanical system strain gauge. The proposed fabrication technique is easy and only requires a few types of microfabrication equipment, thereby opening up a new way to broadly spread and facilitate associated graphene research, especially for those laboratories with limited resources. To characterize the piezoresistive sensitivity of the graphene-based strain gauge, a strain-detection system built by an equivalent-stress macrocantilever was set up to generate mechanical bending strain where a calibrated commercial strain gauge was ...

Journal ArticleDOI
TL;DR: In this article, the atomic structure and optical properties of sub-monolayer depositions of InAs in GaAs are studied using cross-sectional scanning tunneling microscopy and photoluminescence spectroscopy.
Abstract: Using cross-sectional scanning tunneling microscopy and photoluminescence spectroscopy, the atomic structure and optical properties of submonolayer depositions of InAs in GaAs are studied. The submonolayer depositions are formed by a cycled deposition of 0.5 monolayers InAs with GaAs spacer layers of different thicknesses between 1.5 and 32 monolayers. The microscopy images exhibit InAs-rich agglomerations with widths around 5 nm and heights of up to 8 monolayers. A lateral agglomeration density in the 1012 cm−2 range is found. During the capping of the InAs depositions a vertical segregation occurs, for which a segregation coefficient of ∼0.73 was determined. In the case of thin GaAs spacer layers, the observed segregation forms vertically connected agglomerations. The photoluminescence spectra exhibit peaks with linewidths below 10 meV and show a considerable dependence of the peak energy on the spacer thickness, even up to 32 monolayers GaAs, indicating a long range electronic coupling.

Journal ArticleDOI
TL;DR: In this article, a coherent EUV scatterometry microscope (CSM) was developed for mask inspection and metrology in extreme-ultraviolet (EUV) lithography, and an aerial image of the mask pattern was reconstructed with iterative calculation based on coherent diffraction imaging.
Abstract: In extreme-ultraviolet (EUV) lithography, defect-free mask production is a critical issue for high-volume manufacturing. For mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM). It is a simple lensless system. An aerial image of the mask pattern is reconstructed with iterative calculation based on coherent diffraction imaging. Periodic patterns, aperiodic patterns, and phase structures were reconstructed well by the CSM. A defect in a line-and-space pattern was detected as a diffraction signal. The aerial image of the defect is also reconstructed. This paper demonstrates the capability of the CSM to observe complex diffraction amplitudes directly from the pattern and the defect.

Journal ArticleDOI
TL;DR: In this paper, a 2D tungsten photonic crystal (2D W PhC) was fabricated for high-temperature applications such as selective thermal emitters for thermophotovoltaic energy conversion.
Abstract: This article details microfabrication of two-dimensional tungsten photonic crystals (2D W PhCs) for high-temperature applications such as selective thermal emitters for thermophotovoltaic energy conversion. In particular, interference lithography and reactive ion etching are used to produce large area single crystal tungsten 2D PhCs. For this investigation, we fabricated a 2D W PhC sample consisting of an array of cylindrical cavities with 800 nm diameter, 1.2 μm depth, and 1.2 μm period. Extensive characterization and calibration of all microfabrication steps are presented. Experimentally obtained thermal emissivity spectrum is shown to match well with numerical simulations.

Journal ArticleDOI
TL;DR: In this paper, an innovative approach to the fabrication of a complete micro/nano fluidic system, based on direct nano-imprint lithography, was proposed, which consists of nanochannels connected to U-shaped microchannels by triangular tapered inlets, and four large reservoirs for liquid input.
Abstract: In this work, we propose an innovative approach to the fabrication of a complete micro/nano fluidic system, based on direct nanoimprint lithography. The fabricated device consists of nanochannels connected to U-shaped microchannels by triangular tapered inlets, and has four large reservoirs for liquid input. A master silicon stamp with the multilevel structures is fabricated first, and then a negative replica is made, to be used as a stamp for ultraviolet nanoimprint lithography (UV-NIL). Afterwards, just one single UV-NIL step is necessary for patterning all the the micro and nanostructures. Furthermore, the devices are made of all-transparent materials, and the method allows flexibility for the type of substrates used. The active material (an inorganic-organic hybrid polymer) used for the fabrication of the device has been carefully chosen, so it has adequate surface properties (inert and hydrophilic) for its direct use for biological applications. Devices having 30 nm wide, 30 nm deep nanochannels have been fabricated, and the successful performance of the fluidic system and the continuity of the nanochannels have been proven by flow tests. © 2011 American Vacuum Society.

Journal ArticleDOI
TL;DR: In this paper, a neon gas field ionization source was studied for its nanomachining properties on blank Cu and SiO2 thin films and the authors have analyzed neon's precision at 10 and 20
Abstract: As the semiconductor device scaling trend continues, advancement in both focused ion beam source development and application innovations are needed to retain failure analysis and nanomachining application capabilities. In this work, a neon gas field ionization source was studied for its nanomachining properties. The authors have analyzed neon’s nanomachining precision at 10 and 20 keV on blank Cu and SiO2 thin films. Subsurface material amorphization from neon and its correlation with beam current distribution are characterized by TEM. In addition, some preliminary nanomachining work was performed on a 32 nm test chip and successfully demonstrated end-pointing on various device layers.

Journal ArticleDOI
TL;DR: In this article, the effect of UV light on the characteristics of n-ZnO/p-Si heterojunction was investigated and the measured currentvoltage characteristics in dark and illuminated conditions confirm the rectifying behavior of the heterojunctions and an excellent UV response.
Abstract: The article reports the results of our experimental investigation on the effect of UV light on the characteristics of n-ZnO/p-Si heterojunction. c-Axis oriented zinc oxide (ZnO) films were deposited by thermal evaporation technique on p-type silicon (Si) substrates to form ZnO/Si heterojunctions. Both large-area and nanoscale heterojunction configurations were studied. The measured current–voltage characteristics in dark and illuminated conditions confirm the rectifying behavior of the heterojunctions and an excellent UV response. The responsivity values were measured to be of 0.18 and 0.12 A/W to UV light (365nm) for large-area and nanoscale heterojunctions, respectively. The values are comparable with those offered by other commercial UV detectors. The nanoscale heterojunction device can find applications in nanophotonics.

Journal ArticleDOI
TL;DR: In this article, 3D resist structures are generated with both smooth slopes and stepped resist profiles on the same substrate and in very close vicinity by performing gray-scale electron-beam exposure and development steps twice with different dose ranges.
Abstract: Novel 3D resist structures are generated with both smooth slopes and stepped resist profiles on the same substrate and in very close vicinity. By performing gray-scale electron-beam exposure and development steps twice with different dose ranges, a selective transformation of multilevel structures into continuous slopes upon thermal treatment was enabled. The molecular weight dependence was analyzed and related to the locally different ability of the resist to flow, which enables one to selectively address resist structures which should be altered while others stay unaffected. The technique has large potential for prototyping elements and devices and can be used to fabricate stamps with complex 3D surface patterns for fabrication using nanoimprint.

Journal ArticleDOI
TL;DR: In this article, a hydrogen dominant gas mixture was used for inductively coupled plasma etching and a novel ignition scheme using a hydrogen gas "flood" was suggested and results were presented.
Abstract: High verticality and reduced sidewall deterioration of InP/InGaAsP in Cl2/H2/Ar inductively coupled plasma etching is demonstrated for a hydrogen dominant gas mixture. Selectivity >20:1, an etch rate of 24 nm/s, and a sidewall slope angle of >89° have been measured for etch depths >7 μm. The Ar flow is minimized to reduce surface etch damage while increased Cl2 and H2 gas flow is shown to increase etch rate and selectivity. The high chamber pressure required for plasma ignition causes isotropic etching at the start and creates an undercut beneath the masking layer. A novel ignition scheme using a hydrogen gas “flood” is suggested and results are presented.

Journal ArticleDOI
TL;DR: A nanoimprint lithography-based process is presented to create chemically patterned templates, rendering them capable of selectively binding DNA origami, enabling the assembly of the origami scaffolds in the patterned areas.
Abstract: Self-assembled DNA nanostructures can be used as scaffolds to organize small functional nanocomponents. In order to build working devices—electronic circuits, biochips, optical/photonics devices—controlled placement of DNA nanostructures on substrates must be achieved. Here we present a nanoimprint lithography-based process to create chemically patterned templates, rendering them capable of selectively binding DNA origami. Hexamethyldisilazane (HMDS) is used as a passivating layer on silicon dioxide substrates, which prevents DNA attachment. Hydrophilic areas, patterned by nanoimprint lithography with the same size and shape of the origami, are formed by selective removal of the HMDS, enabling the assembly of the origami scaffolds in the patterned areas. The use of nanoimprint lithography, a low cost, high throughput patterning technique, enables high precision positioning and orientation of DNA nanostructures on a surface over large areas.

Journal ArticleDOI
TL;DR: In this paper, a wide band gap interlayer replacing the native oxide layer was proposed to remove the potential wells and provide a larger barrier to conduction, but the problem remains as to how this native oxide interlayer cannot only be removed but prevented from regrowing.
Abstract: The atomic layer deposition of high dielectric constant oxides like HfO2 on III-V substrates such as In0.53Ga0.47As leads to a poor interface, with the growth of In0.53Ga0.47As native oxides regardless of the surface pretreatment and passivation method. The presence of the native oxides leads to poor gate leakage current characteristics due to the low band gap of the native oxides and the presence of potential wells at the interface. In addition, the poor quality of this interface leads to very large interface state defect densities, which are detrimental to metal-oxide-semiconductor-based device performance. A wide band gap interlayer replacing the native oxide layer would remove the potential wells and provide a larger barrier to conduction. It may also assist in the improvement of the interface quality, but the problem remains as to how this native oxide interlayer cannot only be removed but prevented from regrowing. In this regard, the authors present electrical results showing that the atomic layer d...

Journal ArticleDOI
TL;DR: In this paper, the authors reviewed several trends occurring in the past few years in our understanding of bias temperature instability (BTI) and showed how detailed understanding of individual defect properties can allow interpreting the variability issues of future complementary metal-oxide semiconductor technologies.
Abstract: Several trends occurring in the past few years in our understanding of bias temperature instability (BTI) are reviewed. Among the most important is the shift toward analyzing BTI relaxation with the tools originally developed for describing low-frequency noise. This includes the interpretation of the time, temperature, voltage, and duty cycle dependences. It is shown that a wealth of information about gate oxide defect properties can be obtained from deeply scaled devices and correctly modeled based on nonradiative multiphonon theory. It is then shown how detailed understanding of individual defect properties can allow interpreting the variability issues of future complementary metal-oxide semiconductor technologies. This is complemented by showing the most promising technological solutions for BTI.

Journal ArticleDOI
TL;DR: In this paper, the effect of oxide thickness on different MOS parameters was investigated using three different thicknesses of the ZrO2 layer, viz., 25, 40 and 50 nm, and it was found that S passivation resulted in both low hysteresis and high accumulation capacitance.
Abstract: Aluminum (Al)/zirconium oxide (ZrO2)/GaAs metal-oxide-semiconductor (MOS) capacitors were fabricated on p-GaAs. The carrier concentration of n-GaAs was varied by metal organic chemical vapor deposition. The ZrO2 gate dielectrics were prepared by the sol-gel process and then spin-coated onto GaAs substrates. Three different thicknesses of the ZrO2 layer, viz., 25, 40 and 50 nm, were used to study the effect of oxide thickness on different MOS parameters. Sulfur (S) passivation of the GaAs surface was done to control the interface state densities before ZrO2 deposition. It was found that S passivation resulted in both low hysteresis and high accumulation capacitance of the device. Frequency dependent studies on the dielectric characterizations were made in the frequency range of 10 kHz–1 MHz. It was found that within this frequency range dispersion of the oxide capacitance was 2%/decade. It was observed that interface trap densities (Dit) increased with an increase in the carrier concentration of n-GaAs fro...

Journal ArticleDOI
TL;DR: In this article, the statistical properties of individual defects in n-type metal-oxide-semiconductor field effect transistors (nMOSFETs) using time dependent defect spectroscopy were studied.
Abstract: The authors study the statistical properties of individual defects in n-type metal-oxide-semiconductor field-effect transistors (nMOSFETs) using time dependent defect spectroscopy. This technique is based on the analysis of quantized threshold voltage transients observed on nanoscaled p-type metal-oxide-semiconductor field-effect transistors (pMOSFETs) after negative stress and provides the characteristic emission and capture times of individual traps. To complement to previous studies, the authors apply the methodology to SiON nMOSFETs and positive bias temperature stress. The authors demonstrate that the relaxation transients are due to the collective behavior of individual traps. Furthermore, a strong temperature dependence is observed for both emission and capture times. This is incompatible with elastic tunneling theory which is used in trap characterization techniques such as charge pumping, and also in simulations of erase and program transients of nonvolatile memories. The calculated thermal activ...

Journal ArticleDOI
TL;DR: The recent advances of the fabrication technology using atomic force microscopes (AFMs) are reviewed with the focus on its effectiveness and flexibility in patterning three-dimensional (3D) engineering nanostructures as discussed by the authors.
Abstract: The recent advances of the fabrication technology using atomic force microscopes (AFMs) are reviewed with the focus on its effectiveness and flexibility in patterning three-dimensional (3D) engineering nanostructures. The specific hardware and software requirements, including manipulability, precision, and automation, for enhancing the capability, reliability and repeatability in patterning 3D nanostructures are evaluated. The recent progresses on patterning the one- and two-dimensional nanostructures, which provide the basic building blocks, are first assessed. The truly 3D nanostructures, which were fabricated by two general approaches, layer manufacturing and continuous depth (or height) variation, are then presented to illustrate the efficiency and versatility of the specific patterning technique adopted. The geometric precision and reliability of the features created as well as the patterning principle involved are also studied. Finally, for improving the productivity in patterning 3D structures, the...