scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2012"


Journal ArticleDOI
TL;DR: In this article, a high aspect ratio (∼50:1) sub-10nm silicon nanowires with smooth, uniform, and straight vertical sidewalls using an inductively coupled plasma (ICP) etching process at 20°C was reported.
Abstract: The development of nanofabrication techniques for creating high aspect ratio (∼50:1) sub-10 nm silicon nanowires (SiNWs) with smooth, uniform, and straight vertical sidewalls using an inductively coupled plasma (ICP) etching process at 20 °C is reported. In particular, to improve the quality and flexibility of the pattern transfer process for high aspect ratio SiNWs, hydrogen silsesquioxane, a high-resolution, inorganic, negative-tone resist for electron-beam lithography has been used as both the resist for defining sub-10 nm patterns and the hard mask for etching the underneath silicon material. The effects of SF6/C4F8 gas flow rates, chamber pressure, platen power and ICP power on the etch rate, selectivity, and sidewall profile are investigated. To minimize plasma-induced sidewall damage, moderate plasma excitation power (ICP power of 600 W) and low ion energy (platen power of 6–12 W) were used. Using the optimized etch process at room temperature (20 °C), the authors have successfully fabricated sub-1...

80 citations


Journal ArticleDOI
TL;DR: In this paper, the authors performed a detailed study of the time stability and reproducibility of submicron Al/AlOx/Al tunnel junctions, fabricated using standard double angle shadow evaporations.
Abstract: The authors have performed a detailed study of the time stability and reproducibility of submicron Al/AlOx/Al tunnel junctions, fabricated using standard double angle shadow evaporations. The authors have found that by aggressively cleaning the substrate before the evaporations; thus preventing any contamination of the junction, they obtained perfectly stable oxide barriers. The authors also present measurements on large ensembles of junctions which prove the reproducibility of the fabrication process. The measured tunnel resistance variance in large ensembles of identically fabricated junctions is in the range of only a few percent. Finally, the authors have studied the effect of different thermal treatments on the junction barrier. This is especially important for multiple step fabrication processes which imply annealing the junction.

77 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report specific contact resistance (ρc) values for Mo-, Ti-, TiW, Pd-, and Pt-based Ohmic contacts to n+-In086Ga014As that are deposited with either collimated sputter or electron-beam deposition Palladium-based contacts with 5 nm of electronbeam evaporated Pd.
Abstract: The authors report specific contact resistance (ρc) values for Mo-, Ti-, TiW-, Pd-, and Pt-based Ohmic contacts to n+-In086Ga014As that are deposited with either collimated sputter or electron-beam deposition Palladium-based contacts with 5 nm of electron-beam evaporated Pd have a specific contact resistance of 76 ± 05 × 10−9 Ω cm2, while identical collimated sputter deposited contacts have a specific contact resistance of 41 ± 04 × 10−9 Ω cm2 Contacts with a very thin evaporated Pd layer (2 nm) or a sputtered Pd/Ti 1/1 nm × 4 multilayer have stable ρc values of 54 ± 05 × 10−9 and 50 ± 05 × 10−9 Ω cm2, respectively, after 2 h at 270 °C The ρc of sputter deposited TiW-based contacts is an order of magnitude lower than for identical evaporated contacts (16 ± 03 × 10−8 vs 26 ± 03 × 10−7 Ω cm2) and is stable during annealing, while sputter deposition of Pt-based contacts yields rc values that are half an order of magnitude lower than similar evaporated contacts (45 ± 10 × 10−9 vs 17 ± 02

68 citations


Journal ArticleDOI
TL;DR: In this article, the electronic structure of gap states has been calculated in order to assign the interface states observed at III-V oxide interfaces, and it is found that As-As dimers and Ga and As dangling bonds can give rise to gap states.
Abstract: The electronic structure of gap states has been calculated in order to assign the interface states observed at III-V oxide interfaces. It is found that As-As dimers and Ga and As dangling bonds can give rise to gap states. The difficulty of passivating interface gap states in III-V oxide interfaces is attributed to an auto-compensation process of defect creation which is activated when an electron counting rule is not satisfied. It is pointed out that oxide deposition needs to avoid burying As dimer states from the free surface, and to avoid sub-surface oxidation during growth or annealing, in order to avoid defect states at the interface or in the subsurface semiconductor.

59 citations


Journal ArticleDOI
TL;DR: In this paper, the effects of aluminum oxide infiltration of 27 and 41 nm pitch line/space patterns formed using polystyrene-b-poly(methyl methacrylate) block copolymers were investigated.
Abstract: Self-assembled block copolymer patterns may render more robust masks for plasma etch transfer through block-selective infiltration with metal oxides, affording opportunities for improved high contrast, high fidelity pattern transfer for sub-15 nm lithography in wafer-scale processes. However, block selective infiltration alters the self-assembled block copolymer latent image by changing feature size, duty cycle, and sidewall profile. The authors systematically investigate the effects of aluminum oxide infiltration of 27 and 41 nm pitch line/space patterns formed using polystyrene-b-poly(methyl methacrylate) block copolymers and evaluate the process compatibility with directed self assembly. The degree of image distortion depends on the amount of infiltrated material, with smaller amounts resulting in complete mask hardening and larger amounts shifting and collapsing pattern features. An attractive feature of the resulting oxide mask is the relatively smooth line edge roughness of the final transferred fea...

57 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe the current understanding of plasma enhanced growth of carbon nanotubes, the prototypical example of nanostructured carbon materials, as obtained from experiments, simulations, and modeling.
Abstract: Nanostructured carbon materials show a tremendous variety in atomic structure, morphology, properties, and applications. As all properties are ultimately determined by the structure of the material, a thorough understanding of the growth mechanisms that give rise to the particular structure is critical. On many occasions, it has been shown that plasma enhanced growth can be strongly beneficial. This review will describe the authors’ current understanding of plasma enhanced growth of carbon nanotubes, the prototypical example of nanostructured carbon materials, as obtained from experiments, simulations, and modeling. Specific emphasis is put on where experiments and computational approaches correspond, and where they differ. Also, the current status on simulating PECVD growth of some other carbon nanomaterials is reviewed, including amorphous carbon, graphene, and metallofullerenes. Finally, computational challenges with respect to the simulation of PECVD growth are identified.

55 citations


Journal ArticleDOI
TL;DR: The effect of vacuum annealing followed by exposure to oxygen and water vapor on the unintentional doping of CVD-grown graphene was investigated in this article, where a blue shift in the Raman response with respect to the as grown film was observed which was due to increased adsorption of p-dopants on the sample.
Abstract: The effect of vacuum annealing followed by exposure to oxygen and water vapor on the unintentional doping of CVD-grown graphene was investigated. CVD graphene samples were cycled between room temperature and 500 °C in vacuum while in situ Raman measurements were recorded. During the heating and cooling cycle, a hysteresis in the Raman response due to the desorption of p-dopants was observed. Upon exposure to O2 gas or air, a blue shift in the Raman response with respect to the as grown film was observed which was due to increased adsorption of p-dopants on the sample. Experiments showed that a combination of water vapor and oxygen is more effective in p-doping the samples than just oxygen and that the doping effects are reversible in both cases. Electrical measurements performed on back-gated field effect graphene devices indicate that shifts in the Dirac point correlate well to the shifts in the Raman peak positions as well as changes found in XPS and Kelvin Probe measurements, verifying the changes in d...

55 citations


Journal ArticleDOI
TL;DR: In this paper, the growth window for incorporation of Bi in GaSb was found and strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied, and the Bi concentration in the samples was found to increase with increasing growth temperature and Bi flux.
Abstract: Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi concentration in the samples was found to increase with increasing growth temperature and Bi flux. The position of GaSb1-xBix layer peak in XRD rocking curves is found to be correlated to Bi composition. Surface and structural properties of the samples were also investigated. Samples grown on GaSb and GaAs substrates were compared and no apparent difference for Bi incorporation was found.

48 citations


Journal ArticleDOI
TL;DR: In this paper, the role of ions, photons and radicals in the damage induced by CO2 and O2 plasmas to an ultra low-k (ULK) dielectric film was investigated.
Abstract: The damage induced by CO2 and O2 plasmas to an ultra low-k (ULK) dielectric film with a dielectric constant (κ) of 2.2 was investigated. The dielectric constant was observed to increase due to methyl depletion, moisture uptake, and surface densification. A gap structure was used to delineate the role of ions, photons and radicals in inducing the damage, where the experimental variables included an optical mask (MgF2, fused silica, and Si), a gap height, an inductively coupled plasma power source, a bias power on the bottom electrode, variable chamber pressure, and variable substrate temperature. The plasma radical density distribution inside the gap between the optical mask and the ULK film was simulated. The simulation was based on radical diffusion, reaction, and recombination inside the gap. The experimental results and the numerical simulation showed that the oxygen radicals played an important role in plasma induced damage which was found to be proportional to the oxygen radical density and enhanced ...

48 citations


Journal ArticleDOI
TL;DR: In this paper, thin InAsBi layers were grown for photoluminescence (PL) characterization and the As to In overpressure ratio was carefully characterized and adjusted to achieve Bi-droplet-free surfaces.
Abstract: Thick InAsBi layers were grown for photoluminescence (PL) characterization. The As to In overpressure ratio was carefully characterized and adjusted to achieve Bi-droplet-free surfaces. A closed loop feedback system was used to maintain the As overpressure during a 5-h deposition sequence. Despite a high degree of control of the growth parameters, evidence for local phase separation was observed in the PL spectra.

45 citations


Journal ArticleDOI
TL;DR: Optimized UV ozone cleaning of graphene layers on SiO2/Si substrates is shown to improve contact resistance of e-beam evaporated Ti/Au contacts by three orders of magnitude (3 × 10−6 Ω-cm2) compared to untreated surfaces as mentioned in this paper.
Abstract: Optimized UV ozone cleaning of graphene layers on SiO2/Si substrates is shown to improve contact resistance of e-beam evaporated Ti/Au contacts by three orders of magnitude (3 × 10−6 Ω-cm2) compared to untreated surfaces (4 × 10−3 Ω-cm2). Subsequent annealing at 300 °C lowers the minimum value achieved to 7 × 10−7 Ω-cm2. Ozone exposure beyond an optimum time (6 min in these experiments) led to a sharp increase in sheet resistance of the graphene, producing degraded contact resistance. The UV ozone treatment is a simple and effective method for producing high quality contacts to graphene.

Journal ArticleDOI
TL;DR: In this paper, the viability of sulfur hexafluoride plasmas to fluorinate graphene as a safer alternative to the commonly reported techniques of fluorination that include exposures to fluorine and xenon difluorides gas was demonstrated.
Abstract: Fluorination of graphene has emerged as an attractive approach toward manipulating its physical, chemical, and electronic properties. To this end, we have demonstrated the viability of sulfur hexafluoride plasmas to fluorinate graphene as a safer alternative to the commonly reported techniques of fluorination that include exposures to fluorine and xenon difluoride gas. Incorporation of fluorine moieties on graphene after SF6 plasma-treatment was confirmed by x-ray photoelectron spectroscopy. Modifications in the valence band states of graphene after plasma-treatment were characterized by ultraviolet photoelectron spectroscopy. Increase in work function of plasma-treated graphene demonstrates the ability of plasma-assisted fluorination to modify the electron emission characteristics of graphene. Raman spectroscopy reveals that the majority of carbon atoms in graphene retain their sp2 hybridization after the plasma-treatment.

Journal ArticleDOI
TL;DR: In this article, a simple method for the artificial fabrication of the complex three-dimensional (3D) ultrastructures of butterfly wing scales is presented. But this method is limited to microscopic areas.
Abstract: The authors report a simple method for the artificial fabrication of the complex three-dimensional (3D) ultrastructures of butterfly wing scales. This method uses chemical vapor deposition, UV lithography, and chemical etching to create the ultrastructures over a large area surpassing previously used focused ion beam techniques that are limited to microscopic areas. Furthermore, this method shows flexibility to modify nanostructure types and can precisely control shapes and dimensions and periodicity. Fabricated 3D ultrastructures are also replicated using a nanoimprint method into soft polymer materials. Reflectivity measurements and simulations of the master and polymer replicas show selective UV reflection consistent with the length scales used in such butterfly-like nanostructures.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate pattern transfer of 29-nm-pitch self-assembled line-space polystyrene-poly(methyl methacrylate) patterns generated by graphoepitaxy into three important materials for semiconductor device integration: silicon, silicon nitride, and silicon oxide.
Abstract: The authors demonstrate pattern transfer of 29-nm-pitch self-assembled line-space polystyrene-poly(methyl methacrylate) patterns generated by graphoepitaxy into three important materials for semiconductor device integration: silicon, silicon nitride, and silicon oxide. High fidelity plasma etch transfer with production-style reactors was achieved through co-optimization of multilayer masking film stacks and reactor conditions. The authors present a systematic study of the line edge roughness (LER) and line width roughness evolution during pattern transfer. Application of a postetch annealing process shows reduction of the LER of silicon features from around ∼3 nm to less than 1.5 nm. These results further demonstrate that directed self-assembly-based patterning may be a suitable technique for semiconductor device manufacturing.

Journal ArticleDOI
TL;DR: In this article, degenerately doped (arsenic) n-type hydrogen terminated silicon (100) samples were prepared using various heat treatments for ultrahigh vacuum scanning tunneling microscopy (STM) and spectroscopy analysis.
Abstract: Degenerately doped (arsenic) n-type hydrogen terminated silicon (100) samples were prepared using various heat treatments for ultrahigh vacuum scanning tunneling microscopy (STM) and spectroscopy (STS) analysis. Samples heat treated to 1050 °C were found to have a consistent level of doping throughout the bulk and near surface regions. STS revealed tunneling through dopant states consistent with degenerately doped samples. SIMS profiling and HREELS measurements confirmed dopant and carrier concentrations, respectively. Samples heated to 1250 °C were found to have a reduced concentration of dopants in the near surface region. STS measurements showed shifted I/V curves and the loss of tunneling through dopant states in the band gap, indicating reduced dopant concentrations. Observations were confirmed by SIMS and HREELS where depleted dopants and reduced carrier concentrations were measured. The effect of the varying surface dopant concentrations on the STM imaging characteristics of dangling bonds on hydro...

Journal ArticleDOI
TL;DR: In this paper, the fabrication of lateral metallic structures with multiple materials using specifically designed resist masks and multiangle shadow evaporation is described, which avoids contamination and allows for highly controlled interface properties between metals deposited sequentially.
Abstract: The authors report the fabrication of lateral metallic structures with multiple materials using specifically designed resist masks and multiangle shadow evaporation. The whole fabrication process is carried out without breaking vacuum, which avoids contamination and allows for highly controlled interface properties between metals deposited sequentially. The authors incorporate the thickness of the mask as a design parameter, which allows one to introduce controlled variations between multiple contacts in the same device. Using a suspended mask, it is demonstrated the fabrication of asymmetric single electron transistors with tunnel junctions with different resistances. Using a nonsuspended mask, it is illustrated the fabrication of an extended structure (a thermopile), which consists of tenths of ferromagnetic wires with a nominal width of 30 nm connected electrically in series using a nonmagnetic metal.

Journal ArticleDOI
TL;DR: Strain-balanced InAs/InAs1−xSbx type-II superlattices were grown by molecular beam epitaxy and demonstrated photoluminescence (PL) up to 11.1μm as mentioned in this paper.
Abstract: Strain-balanced InAs/InAs1−xSbx type-II superlattices (SLs) on GaSb substrates with 0.27 ≤ x ≤0.33 were grown by molecular beam epitaxy and demonstrated photoluminescence (PL) up to 11.1 μm. The calculated SL bandgap energies agree with the PL peaks to within 5 meV for long-wavelength infrared samples (9.5, 9.9, and 11.1 μm) and to within 9 meV for a mid-wavelength infrared sample (5.9 μm). X-ray diffraction measurements reveal average SL mismatches of less than 0.2%, and the PL full-width-at-half-maximums increase with the mismatch, confirming the importance of strain-balancing for material quality.

Journal ArticleDOI
TL;DR: In this article, the authors measured the electrical properties and deep electron and hole trap spectra for undoped n-GaN cut from a thick boule grown by hydride vapor phase epitaxy (HVPE).
Abstract: Electrical properties and deep electron and hole trap spectra were measured for undoped n-GaN cut from a thick boule grown by hydride vapor phase epitaxy (HVPE). The material is characterized by a very low concentration of residual donors (1013–1014 cm−3) in the first 30 μm near the growth surface. The bulk electrical properties were similar to those of standard high quality undoped bulk HVPE n-GaN, with a net donor concentration of ∼1016 cm−3 and mobility ∼1000 cm2/V s. The strong decrease of electron concentration in the surface region of the high resistivity GaN was caused by the compensation of shallow residual donors by a high density (∼6 × 1015 cm−3) of hole traps with activation energy of 0.2 eV, confined to the compensated region. In addition, other hole traps H5 with activation energy 1.2 eV and concentration 5 × 1015 cm−3 were present. These latter traps had similar concentrations in both the high resistivity and standard conducting HVPE GaN. Radiation detectors prepared on the high resistivity ...

Journal ArticleDOI
TL;DR: In this paper, the authors reported on characterization of Pd/ZnO thin-film Schottky contacts based UV photodetector fabricated by two methods, and they showed that sol-gel derived devices exhibit better photoresponse as compared to those using thin film deposited by vacuum deposition technique.
Abstract: The authors report on characterization of Pd/ZnO thin film Schottky contacts based UV photodetector fabricated by two methods. The ZnO film was grown on p-type Si ⟨100⟩ substrate by using vacuum thermal evaporation and sol-gel methods. With applied voltage in the range from −2 to +2 V we estimated the photocurrent, contrast ratio, responsivity, and quantum efficiency of the photodetectors for an incident optical power of 0.1 mW at 365 nm ultraviolet wavelength. The current–voltage characteristics were studied and the parameters such as ideality factor, leakage current, and barrier height of the Schottky contacts were extracted from the measured data. The surface morphology and the structural properties of the thin film were studied by atomic force microscope and scanning electron microscope. The bandgap of ZnO is evaluated from the absorbance spectra of ZnO thin film obtained by using double beam spectrophotometer. For the investigation of the surface chemical bonding, x-ray photoelectron spectroscopy measurements were also performed. The study revealed that sol-gel derived devices exhibit better photoresponse as compared to those using thin film deposited by vacuum deposition technique.The authors report on characterization of Pd/ZnO thin film Schottky contacts based UV photodetector fabricated by two methods. The ZnO film was grown on p-type Si ⟨100⟩ substrate by using vacuum thermal evaporation and sol-gel methods. With applied voltage in the range from −2 to +2 V we estimated the photocurrent, contrast ratio, responsivity, and quantum efficiency of the photodetectors for an incident optical power of 0.1 mW at 365 nm ultraviolet wavelength. The current–voltage characteristics were studied and the parameters such as ideality factor, leakage current, and barrier height of the Schottky contacts were extracted from the measured data. The surface morphology and the structural properties of the thin film were studied by atomic force microscope and scanning electron microscope. The bandgap of ZnO is evaluated from the absorbance spectra of ZnO thin film obtained by using double beam spectrophotometer. For the investigation of the surface chemical bonding, x-ray photoelectron spectroscopy mea...

Journal ArticleDOI
TL;DR: In this article, the effects of neutron irradiation on high electron mobility transistor (HEMT) structures with Al composition in the AlGaN barrier ranging from 20% to 50% were compared with standard Al0.25Ga0.75N/GaN HEMTs.
Abstract: Neutron irradiation effects were compared for AlGaN/AlN/GaN high electron mobility transistor (HEMT) structures with Al composition in the AlGaN barrier ranging from 20% to 50%, “standard” Al0.25Ga0.75N/GaN HEMTs and for InAlN/GaN HEMTs with InAlN barrier lattice matched to GaN (17% In in the barrier). These samples were exposed to fast reactor neutrons with average energy ∼2 MeV and fluence of 1–3 × 1015 cm−2. The main effect of irradiation was the decrease of two-dimensional electron gas (2DEG) mobility and a positive shift in the threshold voltage corresponding to 2DEG depletion in capacitance–voltage characteristics. For the highest fluences, there was a decrease in both 2DEG concentration and accumulation capacitance, with the effect being strongest for AlGaN/AlN/GaN HEMTs with the highest Al composition and for InAlN/GaN HEMTs. The results correlate with the increase in concentration of deep negatively charged traps in the AlGaN or InAlN barrier with neutron dose. For applications in which tolerance...

Journal ArticleDOI
TL;DR: In this article, the insertion of chemically vapor deposited graphene layers between Al metallization and Si substrates and between Au and Ni metal layers on Si substrate is shown to provide a significant reduction in spiking and intermixing of the metal contacts and reaction with the Si, where the bilayer graphene was transferred to the samples after the Cu-foil was etched.
Abstract: The insertion of chemically vapor deposited graphene layers between Al metallization and Si substrates and between Au and Ni metal layers on Si substrates is shown to provide a significant reduction in spiking and intermixing of the metal contacts and reaction with the Si, where the bilayer graphene was transferred to the samples after the Cu-foil was etched. The graphene prevents reaction between Al and Si up to the temperatures of 700 °C and the intermixing of Au and Ni up to the temperatures of at least 600 °C. The outstanding performance of the graphene as a metal diffusion barrier will be very useful to improve the stability of the metallizations at elevated temperatures.

Journal ArticleDOI
TL;DR: In this paper, an ultra-high vacuum surface science approach has been used to identify and rationalize the effects of substrate temperature and electron fluence on the chemical composition and bonding in films created by electron beam induced deposition (EBID).
Abstract: Using three different precursors [MeCpPtMe3, Pt(PF3)4, and W(CO)6], an ultra-high vacuum surface science approach has been used to identify and rationalize the effects of substrate temperature and electron fluence on the chemical composition and bonding in films created by electron beam induced deposition (EBID). X-ray photoelectron spectroscopy data indicate that the influence of these two processing variables on film properties is determined by the decomposition mechanism of the precursor. For precursors such as MeCpPtMe3 that decompose during EBID without forming a stable intermediate, the film's chemical composition is independent of substrate temperature or electron fluence. In contrast, for Pt(PF3)4 and W(CO)6, the initial electron stimulated deposition event in EBID creates surface bound intermediates Pt(PF3)3 and partially decarbonylated Wx(CO)y species, respectively. These intermediates can react subsequently by either thermal or electron stimulated processes. Consequently, the chemical composition of EBID films created from either Pt(PF3)4 or W(CO)6 is influenced by both the substrate temperature and the electron fluence. Higher substrate temperatures promote the ejection of intact PF3 and CO ligands from Pt(PF3)3 and Wx(CO)y intermediates, respectively, improving the film's metal content. However, reactions of Pt(PF3)3 and Wx(CO)y intermediates with electrons involve ligand decomposition, increasing the irreversibly bound phosphorous content in films created from Pt(PF3)4 and the degree of tungsten oxidation in films created from W(CO)6. Independent of temperature effects on chemical composition, elevated substrate temperatures (>25?°C) increased the degree of metallic character within EBID deposits created from MeCpPtMe3 and Pt(PF3)4.

Journal ArticleDOI
TL;DR: In this paper, the authors discuss a quantitative ion beam probe current distribution measurement technique, based on a gallium beam profile, and present empirical results of the beam current distribution analysis and fine-tuning.
Abstract: Focused ion beam technology continues to scale into the nano regime to keep pace with the scaling of semiconductor processes and biological science research. As the requirements for higher image resolution and machining precision increase, the necessity for comprehensive analysis and fine tuning of the ion beam profile is becoming increasingly important and more challenging. Older techniques such as edge resolution analysis or ion beam spot burns provide only a limited understanding of the ion beam probe current distribution. In this paper, the authors discuss a quantitative ion beam probe current distribution measurement technique. The principle of the approach will be discussed; modeling and empirical results of a gallium beam profile are presented in this paper.

Journal ArticleDOI
TL;DR: In this article, the authors used two carbon fiber field emitters with different separations to quantify and isolate the effect of electric field screening and showed that when the separation between the two cathodes decreases, both the effective field enhancement factor, βeff, and the current emission decreases.
Abstract: This paper describes the first experiments that use only two carbon fiber field emitters with different separations to quantify and isolate the effect of electric field screening. Experiments show that when the separation between the two carbon fiber cathodes decreases, both the effective field enhancement factor, βeff, and the current emission decreases. For a two-emitter geometry, our experiment suggests a height of approximately 1.5 times the separation between the two cathodes as the optimum ratio to optimize the emitted current. The paper shows the analysis of the turn on voltage of the field emitters for different separations. The authors compare experimental data with Fowler–Nordheim field emission theory and particle-in-cell simulation, showing good agreement between experiment, theory, and modeling.

Journal ArticleDOI
TL;DR: The tilting angle of nanocolumns during oblique angle deposition (OAD) as a function of vapor incident angle, θ, has been investigated through both literature survey and systematic experiments.
Abstract: The tilting angle, β, of nanocolumns during oblique angle deposition (OAD) as a function of vapor incident angle, θ, has been investigated through both literature survey and systematic experiments. Most experimental data cannot be fit by either the tangent or cosine rule and show strong material dependence. The material-dependent fan angle, φ, can be extracted using the fan-out model proposed by Tanto et al. [J. Appl. Phys. 108, 026107 (2010)]. This fan angle, φ, depends closely on the melting temperature for single element materials, the heat of formation for compound materials, lattice structure, and the complexity of the materials, and is a critical parameter in understanding the fundamental growth mechanism of OAD.

Journal ArticleDOI
TL;DR: In this paper, a method to fabricate graphene transistors on oxidized silicon wafers without the need to transfer graphene layers was proposed, where a catalyst system of nanometer thin aluminum/nickel double layer is used.
Abstract: The authors invented a method to fabricate graphene transistors on oxidized silicon wafers without the need to transfer graphene layers. To stimulate the growth of graphene layers on oxidized silicon, a catalyst system of nanometer thin aluminum/nickel double layer is used. This catalyst system is structured via liftoff before the wafer enters the catalytic chemical vapor deposition (CCVD) chamber. In the subsequent methane-based growth process, monolayer graphene field-effect transistors and bilayer graphene field-effect transistors are realized directly on oxidized silicon substrate, whereby the number of stacked graphene layers is determined by the selected CCVD process parameters, e.g., temperature and gas mixture. Subsequently, Raman spectroscopy is performed within the channel region in between the catalytic areas and the Raman spectra of five-layer, bilayer, and monolayer graphene confirm the existence of graphene grown by this silicon-compatible, transfer-free and in situ fabrication approach. The...

Journal ArticleDOI
TL;DR: In this paper, an enhanced down-converted green emission associated with the 5D4→7F5 transitions of Tb3+ ions was observed at 543 nm from the ZnAl2O4:Ce3++ powders.
Abstract: Ce3+–Tb3+ co-activated ZnAl2O4 powder phosphors were prepared by a solution combustion method using urea as a fuel. X-ray diffraction characterization showed that all the powders crystallized in the well known cubic spinel phase of ZnAl2O4. An enhanced down-converted green emission associated with the 5D4→7F5 transitions of Tb3+ ions was observed at 543 nm from the ZnAl2O4:Ce3+, Tb3+ powders with different concentrations of Ce3+ and Tb3+. It was inferred from the fluorescence decay data that the enhancement was due to energy transfer from Ce3+ to Tb3+. Further, cathodoluminescence intensity degradation of the ZnAl2O4:Ce3+, Tb3+ powder phosphors was investigated when the powders were irradiated with 2 keV electrons. X-ray photoelectron spectroscopy was used to analyze the chemical and electronic states of individual elements before and after electron irradiation. The ZnAl2O4:Ce3+, Tb3+ phosphor was evaluated to be used as a UV down-converting layer in conventional silicon photovoltaic cells or as a source ...

Journal ArticleDOI
TL;DR: In this article, a critical-angle transmission grating for astronomical x-ray spectroscopy has been developed for a nanofabrication process, and the pitch of the gratings is 200nm and the depth is 4μm.
Abstract: A nanofabrication process has been developed for a novel critical-angle transmission grating for astronomical x-ray spectroscopy. The pitch of the gratings is 200 nm and the depth is 4 μm, which exceeds the state-of-the-art in aspect ratio by over a factor of 2 for ultrahigh aspect ratio freestanding nanoscale gratings with open areas on the order of 50% and spanning several square centimeters. They have a broad array of other applications, including neutral mass spectroscopy, ultraviolet filtration, and phase contrast imaging x-ray spectroscopy. The gratings are fabricated as a monolithic structure in silicon via two lithographic and pattern transfer processes, integrated together on a silicon-on-insulator wafer. The grating is patterned via interference lithography and transferred into the 4 μm device layer via a Bosch deep reactive-ion etch (DRIE). The grating channels are then filled without voids by spinning photoresist on them, which wicks into the channels. The sample is then bonded under vacuum via Crystal Bond™ to a carrier wafer, and a honeycomb pattern is etched via DRIE through the handle layer until it stops cleanly on the buried SiO2. The buried SiO2 is etched away, and the sample is separated from the carrier. The resist filling is cleaned from the channels and the grating is critical-point dried to create a freestanding structure. The freestanding gratings can now be mounted to external frames and structurally analyzed and tested for launch and deployment in space.

Journal ArticleDOI
TL;DR: In this article, the authors examined the thermionic emission properties of a nitrogen-incorporated diamond film grown by chemical vapor deposition in a hydrogen-methane-nitrogen plasma.
Abstract: Although hydrogen has been shown to enhance the thermionic emission properties of nitrogen-incorporated diamond cathodes, the effect diminishes when these cathodes are heated to temperatures in excess of 700 °C, possibly due to the hydrogen desorbing from the diamond. In order to further examine this behavior, this work examines the thermionic emission properties of a nitrogen-incorporated diamond film grown by chemical vapor deposition in a hydrogen-methane-nitrogen plasma. The film was tested for thermally stimulated electron emission at temperatures ranging from 500 to 900 °C in an as-grown state and after exposure to a hydrogen plasma treatment. Emission current increased, as described by the Richardson equation for thermal emission up to ∼ 700 °C. Above ∼ 800 °C the thermionic emission current was observed to diminish, an effect attributed to the loss of hydrogen from the diamond. Recovery of the hydrogen effect was explored by exposing the diamond film to a low-energy hydrogen plasma. The thermionic...

Journal ArticleDOI
TL;DR: In this article, the luminescence spectra for identical MQWs show a single peak across the gap, with a wavelength that is redshifted by ∼20 nm as the excess Ga during growth of the wells increases.
Abstract: The authors report the development of high internal quantum efficiency AlN/AlGaN/AlN double heterostructures and AlGaN/AlN multiple quantum wells (MQWs) grown on 6H-SiC and 4H-SiC substrates of various miscuts by plasma-assisted molecular-beam epitaxy. The authors find that the luminescence spectra for identical MQWs show a single peak across the gap, with a wavelength that is redshifted by ∼20 nm as the excess Ga during growth of the wells increases. The internal quantum efficiency of the double heterostructures emitting at 250 nm is found to be 43%, and that of the multiple quantum wells emitting at 245 nm is 68%. These results suggest that AlGaN alloys on SiC substrates are capable of producing deep-ultraviolet emitters with high efficiency. The authors propose that these results can be accounted for by the introduction of lateral band structure potential fluctuations due to the changing of the growth mode from physical vapor phase epitaxy to liquid phase epitaxy (LPE) as the excess gallium increases. ...