scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2014"


Journal ArticleDOI
TL;DR: In this paper, a review of the underlying physics as well as a broad review of applicability of the method is presented in this review, along with a brief introduction of its underlying physics.
Abstract: Helium Ion Microcopy (HIM) based on Gas Field Ion Sources (GFIS) represents a new ultra high resolution microscopy and nano-fabrication technique. It is an enabling technology that not only provides imagery of conducting as well as uncoated insulating nano-structures but also allows to create these features. The latter can be achieved using resists or material removal due to sputtering. The close to free-form sculpting of structures over several length scales has been made possible by the extension of the method to other gases such as Neon. A brief introduction of the underlying physics as well as a broad review of the applicability of the method is presented in this review.

219 citations


Journal ArticleDOI
TL;DR: In this paper, a Si-doped hafnium oxide with TiN and Ir electrodes has been fabricated in the metal-ferroelectric-insulator-semiconductor (MFIS) structure.
Abstract: Ferroelectric HfO2 is an attractive candidate for future ferroelectric random access memory devices due to its compatibility with the complementary metal-oxide-semiconductor process, conformal deposition, and scaling ability. Crystallization of HfO2 with different dopants and annealing conditions can produce the stabilization of the monoclinic, tetragonal, cubic, or orthorhombic crystal phases. In this work, the authors observe ferroelectric behavior in Si-doped hafnium oxide with TiN and Ir electrodes. Atomic layer deposited 10 nm HfO2 capacitors doped with varying concentrations of SiO2 have been fabricated in the metal–ferroelectric–insulator–semiconductor (MFIS) structure. The ferroelectric characteristics of thin film HfO2 are compared in the MFIS and metal–ferroelectric–metal configurations. Post-metallization anneals were applied to all thin film ferroelectric HfO2 capacitors, resulting in a remanent polarization of up to 22 μC/cm2 and a range of observed coercive voltages, emphasizing the importance of the annealing conditions, electrode materials, and device structure on the ferroelectric properties of thin film HfO2.

110 citations


Journal ArticleDOI
TL;DR: In this paper, the thermal oxidation of nickel thin films (50 nm) fabricated by conventional thermal evaporation, resulting from annealing in air at 300, 325, 350, 400, and 700 degrees C, was studied.
Abstract: The authors study the thermal oxidation of nickel thin films (50 nm) fabricated by conventional thermal evaporation, resulting from annealing in air at 300, 325, 350, 400, and 700 °C. The characterization is performed by x-ray diffraction, Raman spectroscopy, superconducting quantum interference device magnetometry, and scanning electron microscopy. These techniques show that the oxidation increases with annealing temperature. The formation of granular films of coexisting Ni and NiO is confirmed after annealing at 400 °C. The magnetic measurements indicate coexisting ferromagnetism and antiferromagnetism, corresponding to Ni and NiO contributions. The magnetic hysteresis loops reveal exchange bias in the samples annealed at 235, 350, and 400 °C due to the competition between the exchange interactions at the Ni/NiO interfaces.

95 citations


Journal ArticleDOI
TL;DR: A critical review of the latest progress in studies on Heusler nanoparticles can be found in this paper, where the authors discuss their structural and physical properties interesting for research fields such as spintronics and ferromagnetic shape memory alloys.
Abstract: Heusler nanoparticles emerge as a new class of multifunctional materials. In this critical review, the latest progress in studies on Heusler nanoparticles is summarized. The authors discuss their structural and physical properties interesting for research fields such as spintronics and ferromagnetic shape memory alloys. As a young research field, the majority of studies on Heusler nanoparticles focus on their synthesis, structure, and magnetic characterizations. Important issues such as size dependent structure, phase transition, magnetic, and spin-related properties are still open. Further investigations are needed to verify the technical significance of Heusler nanoparticles for practical applications such as data storage, magnetic sensors, and microactuators.

52 citations


Journal ArticleDOI
TL;DR: In this paper, the authors summarize surface analytical investigations on the mechanisms that govern the effectiveness of the insertion layer and provide the criteria for MoOx insertion layer to be effective by comparing the work function of MoOx and the ionization potential of organic semiconductors.
Abstract: Extensive studies have been conducted on molybdenum oxide since it has outstanding properties as an insertion layer for efficient charge injection and extraction in organic semiconductor devices. Efficient charge transfer at semiconductor and electrode interface is one of the most crucial issues for the performance of organic electronic device. A lot of efforts have been spent to address this issue, but there are still many unclarified issues to understand the physical mechanisms. In this review, the authors summarize surface analytical investigations on the mechanisms that govern the effectiveness of the insertion layer. Measurement results on the electronic structure, composition, and morphology are presented. It is found that the high work function of MoOx is the dominant factor for the device performance improvement. Compromising environmental effects and methods to recover or prevent such effects are described. Finally, the criteria for MoOx insertion layer to be effective are provided by comparing the work function of MoOx and the ionization potential of organic semiconductors.

51 citations


Journal ArticleDOI
TL;DR: In this article, a brief tutorial review of oxide-based thermochromics and electrochromics with particular attention to recent advances is presented. But, the authors do not consider the effect of temperature on the transmittance of visible light and solar energy.
Abstract: Modern buildings often have large windows and glass facades in order to achieve good day-lighting and desirable indoors–outdoors contact. These glazings are challenging with regard to the buildings' energy efficiency and frequently let in or out too much energy; this phenomenon has to be balanced by energy guzzling cooling or heating. In particular, the cooling requirement has grown strongly in recent years. Chromogenic glazings, based on thermochromism or electrochromism, are emerging technologies that can regulate the inflow of visible light and solar energy between widely separated limits and create better energy efficiency than is possible with static solutions. Thermochromic thin films—in practice based on vanadium dioxide—have a transmittance of infrared solar radiation that is smaller at high temperature than at low temperature. Electrochromic multilayer structures—often incorporating nanoporous thin films of tungsten oxide and nickel oxide—are able to vary the transmittance of visible light and solar energy when a low voltage is applied for a short time so as to shuttle charge between the two oxide films. Furthermore, and importantly, the new chromogenic fenestration technologies are able to improve indoor comfort. This brief tutorial review surveys the fields of oxide-based thermochromics and electrochromics with particular attention to recent advances.

51 citations


Journal ArticleDOI
TL;DR: In this article, the potential of epitaxially grown highly doped InSb as an engineered, wavelength-flexible mid-IR plasmonic material was demonstrated.
Abstract: In this work, the authors demonstrate the potential of epitaxially grown highly doped InSb as an engineered, wavelength-flexible mid-IR plasmonic material. The authors achieve doping concentrations over an order of magnitude larger than previously published results and show that such materials have plasma frequencies corresponding to energies larger than the material's band-gap. These semiconductor-based plasmonic metals open the door to homoepitaxial integration of plasmonic or epsilon-near-zero materials with optoelectronic devices at mid-infrared wavelengths. The materials are characterized by Hall measurements, mid-infrared transmission and reflection spectroscopy, and near-infrared transmission spectroscopy. The opportunities offered and the limitations presented by this material system are discussed and analyzed.

48 citations


Journal ArticleDOI
TL;DR: In this article, the growth of thin films at oblique angles is analyzed under the premises of a recently proposed surface trapping mechanism, which mediates the effective shadowing area and determines the relation between the incident angle of the deposition flux and the tilt angle of columnar thin film nanostructures.
Abstract: The growth of nanostructured physical vapor deposited thin films at oblique angles is becoming a hot topic for the development of a large variety of applications. Up to now, empirical relations, such as the so-called tangent rule, have been uncritically applied to account for the development of the nanostructure of these thin films even when they do not accurately reproduce most experimental results. In the present paper, the growth of thin films at oblique angles is analyzed under the premises of a recently proposed surface trapping mechanism. The authors demonstrate that this process mediates the effective shadowing area and determines the relation between the incident angle of the deposition flux and the tilt angle of the columnar thin film nanostructures. The analysis of experimental data for a large variety of materials obtained in our laboratory and taken from the literature supports the existence of a connection between the surface trapping efficiency and the metallic character of the deposited materials. The implications of these predictive conclusions for the development of new applications based on oblique angle deposited thin films are discussed.

44 citations


Journal ArticleDOI
TL;DR: In this article, the authors reported highly flexible, transparent, conducting heaters based on multiwalled carbon nanotube (MWCNT) sheets, which can be used for versatile applications requiring transparency, conduction, and flexibility.
Abstract: This paper reports highly flexible, transparent, conducting heaters based on multiwalled carbon nanotube (MWCNT) sheets. The MWCNT sheets were spun directly from a well-aligned MWCNT forest. The fabrication of the MWCNT sheet heater was quite simple and suitable for mass production, requiring only a one-step transferring process, in which the MWCNT sheet is drawn onto the target substrates. This study examined the parameters that affect the heat generation of the MWCNT sheet-based heater; input power, surface area, and thermal conductivity of the substrate. In particular, more effort was focused on how to increase the surface area and contact points between the individual MWCNTs; simple acid treatment and added metal nanoparticles increased the heat performance of the heater dramatically. Moreover, the heaters exhibited durability and flexibility against many bending cycles. Therefore, the MWCNT sheet-based heater can be used for versatile applications requiring transparency, conduction, and flexibility.

41 citations


Journal ArticleDOI
TL;DR: Graphene-insulator-graphene vertical tunneling structures from a theoretical perspective are discussed in this article, where the limit of zero momentum conservation in the theory is explicitly considered, with a formula involving the density-of-states of the graphene electrodes recovered in this limit.
Abstract: Graphene–insulator–graphene vertical tunneling structures are discussed from a theoretical perspective Momentum conservation in such devices leads to highly nonlinear current–voltage characteristics, which with gates on the tunnel junction form potentially useful transistor structures Two prior theoretical treatments of such devices are discussed; the treatments are shown to be formally equivalent, although some differences in their implementations are identified The limit of zero momentum conservation in the theory is explicitly considered, with a formula involving the density-of-states of the graphene electrodes recovered in this limit Various predictions of the theory are compared to experiment

40 citations


Journal ArticleDOI
TL;DR: In this paper, the chemical composition and growth rate of HfO2, Al2O3, and TiO2 thin films grown by in-situ atomic layer deposition on both oxidized and hydrogen-terminated Si(001) surfaces were investigated.
Abstract: In this work, the authors used density-functional theory methods and x-ray photoelectron spectroscopy to study the chemical composition and growth rate of HfO2, Al2O3, and TiO2 thin films grown by in-situ atomic layer deposition on both oxidized and hydrogen-terminated Si(001) surfaces. The growth rate of all films is found to be lower on hydrogen-terminated Si with respect to the oxidized Si surface. However, the degree of selectivity is found to be dependent of the deposition material. TiO2 is found to be highly selective with depositions on the hydrogen terminated silicon having growth rates up to 180 times lower than those on oxidized Si, while similar depositions of HfO2 and Al2O3 resulted in growth rates more than half that on oxidized silicon. By means of density-functional theory methods, the authors elucidate the origin of the different growth rates obtained for the three different precursors, from both energetic and kinetic points of view.

Journal ArticleDOI
TL;DR: In this paper, the optical properties of CdTe/MgCdTe double heterostructures grown by molecular beam epitaxy are reported, showing strong band-to-band emission and very weak defect related peaks, indicating low defect densities.
Abstract: This Letter reports the optical properties of CdTe/MgCdTe double heterostructures grown by molecular beam epitaxy. Low-temperature photoluminescence shows strong band-to-band emission and very weak defect related peaks, indicating low defect densities. The measured Shockley–Read–Hall lifetimes range from 57 to 86 ns at room temperature for samples grown under different conditions. The material radiative recombination coefficient B in the recombination rate defined as R=AΔn+(1−γ)BΔn2+CΔn3 [Wang et al., Phys. Status Solidi B 244, 2740 (2007)] is evaluated to be 4.3 ± 0.5 × 10−9 cm3·s−1 with a photon recycling factor γ of 0.85 calculated based on the geometric structure of the samples.

Journal ArticleDOI
TL;DR: In this paper, a gas field ion microscope was used to investigate helium and neon ion beam induced etching of nickel as a candidate technique for extreme ultraviolet (EUV) mask editing.
Abstract: The gas field ion microscope was used to investigate helium and neon ion beam induced etching of nickel as a candidate technique for extreme ultraviolet (EUV) lithography mask editing. No discernable nickel etching was observed for room temperature helium exposures at 16 and 30 keV in the dose range of 1 × 1015–1 × 1018 He+/cm2; however, transmission electron microscopy (TEM) revealed subsurface damage to the underlying Mo-Si multilayer EUV mirror. Subsequently, neon beam induced etching at 30 keV was investigated over a similar dose range and successfully removed the entire 50 nm nickel top absorber film at a dose of ∼3 × 1017 Ne+/cm2. Similarly, TEM revealed subsurface damage in the underlying Mo-Si multilayer. To further understand the helium and neon damage, the authors simulated the ion–solid interactions with our EnvizION Monte-Carlo model, which reasonably correlated the observed damage and bubble formation to the nuclear energy loss and the implanted inert gas concentration, respectively. A critical nuclear energy density loss of ∼80 eV/nm3 and critical implant concentration of ∼2.5 × 1020 atoms/cm3 have been estimated for damage generation in the multilayer structure.

Journal ArticleDOI
TL;DR: In this article, a 3D Monte Carlo-based simulation model for plasma-surface interactions and feature profile evolution during Si etching is presented. But the model does not take into account the ion reflection or scattering from feature surfaces on incidence and/or the ion penetration into substrates.
Abstract: Atomic- or nanometer-scale surface roughening and rippling during Si etching in high-density Cl2 and Cl2/O2 plasmas have been investigated by developing a three-dimensional atomic-scale cellular model (ASCeM-3D), which is a 3D Monte Carlo-based simulation model for plasma–surface interactions and the feature profile evolution during plasma etching. The model took into account the behavior of Cl+ ions, Cl and O neutrals, and etch products and byproducts of SiClx and SiClxOy in microstructures and on feature surfaces therein. The surface chemistry and kinetics included surface chlorination, chemical etching, ion-enhanced etching, sputtering, surface oxidation, redeposition of etch products desorbed from feature surfaces being etched, and deposition of etch byproducts coming from the plasma. The model also took into account the ion reflection or scattering from feature surfaces on incidence and/or the ion penetration into substrates, along with geometrical shadowing of the feature and surface reemission of n...

Journal ArticleDOI
TL;DR: In this article, a detailed process for the pore stuffing and postetch polymer removal of PMMA is described, where the filling temperature was optimized according to the molecular weight of the PMMA.
Abstract: The problem of k-value degradation (plasma damage) is a key issue for the integration, and it is becoming more challenging as the dielectric constant of low-k materials scales down. One way to circumvent this issue is temporarily conversion of low-k material from a porous to a dense state by filling the pores with a sacrificial polymer after the deposition and curing of the low-k material. A detailed process scheme for the pore stuffing and postetch polymer removal of PMMA is described in this work. The filling temperature was optimized according to the molecular weight of the PMMA. To remove the polymer after plasma-etching in a purely thermal environment, a temperature of at least 430 °C had to be applied. Annealing assisted by variable frequency microwaves could remove the polymer already at 380 °C and with a He–H2 afterglow plasma the polymer could be removed at 280 °C. Laser annealing allowed the removal at a stage temperature of 200 °C with an only surface-limited heating of about 500 °C and higher to prevent the FEOL structures from damage. This work presents the results of the detailed study of stuffing and unstuffing processes, discusses mechanisms, and provides background for a robust stuffing and polymer removal process for the plasma damage reduction in porous low-k dielectrics.

Journal ArticleDOI
TL;DR: In this article, anatase TiO2 films were fabricated by using atomic layer deposition and postdeposition annealing (PDA) to achieve high dielectric properties.
Abstract: In this paper, the authors studied anatase TiO2 films, fabricated by using atomic layer deposition and postdeposition annealing (PDA). The as-grown TiO2 films were of high purity; the carbon and nitrogen contents were within the x-ray photoelectron spectroscopy detection limit of 3–5 at. %. The anatase TiO2 film fabricated by PDA at 500 °C in O2 had a very high dielectric constant of >30 and was of high quality because it exhibited no hysteresis at its flatband voltage (Vfb) and contained negligible defect charge. The positive Vfb shift of anatase TiO2 (0.08 V), caused by the bottom interface dipole at a TiO2/SiO2 interface, was much smaller than those of Al2O3 (0.72 V) and HfO2 (0.29 V). However, the maximum Vfb change of the anatase TiO2 was greater than those of HfO2 and HfSiOx because the TiO2 contained more oxygen than the other materials.

Journal ArticleDOI
TL;DR: In this article, the authors measured the changes in direct current performance of circular-shaped AlGaN/GaN high electron mobility transistors after 60Co γ-irradiation doses of 50, 300, 450, or 700 Gy were measured.
Abstract: The changes in direct current performance of circular-shaped AlGaN/GaN high electron mobility transistors (HEMTs) after 60Co γ-irradiation doses of 50, 300, 450, or 700 Gy were measured. The main effects on the HEMTs after irradiation were increases of both drain current and electron mobility. Compton electrons induced from the absorption of the γ-rays appear to generate donor type defects. Drain current dispersions of ∼5% were observed during gate lag measurements due to the formation of a virtual gate between the gate and drain resulting from the defects generated during γ-irradiation.

Journal ArticleDOI
TL;DR: In this article, the chemical, physical, electrical, and mechanical properties of BeO thin films formed via atomic layer deposition (ALD) were investigated, and it was shown that ALD BeO has a low hydrogen content (<5%) and are nearly stoichiometric (Be/O 1.1 ± 0.05).
Abstract: Beryllium oxide (BeO) is a wide band gap alkaline earth oxide material that has recently shown significant promise as a high-k dielectric material in Si and III-V metal–oxide–semiconductor field effect transistor devices. However, many of the basic material properties for BeO thin films utilized in these devices have not been reported or remain in question. In this regard, the authors report an investigation of the chemical, physical, electrical, and mechanical properties of BeO thin films formed via atomic layer deposition (ALD). Combined Rutherford backscattering and nuclear reaction analysis measurements show that ALD BeO thin films exhibit a low hydrogen content (<5%) and are nearly stoichiometric (Be/O ≅ 1.1 ± 0.05). Reflection electron energy loss spectroscopy measurements reveal a wide band gap of 8.0 ± 0.14 eV, and nanoindentation measurements show that ALD BeO has a high Young's modulus and hardness of 330 ± 30 and 33 ± 5 GPa, respectively.

Journal ArticleDOI
TL;DR: In this article, the effects of postprocess annealing on the gate leakage current and breakdown voltage characteristics of AlGaN/GaN high electron mobility transistors (HEMTs) were investigated.
Abstract: The effects of postprocess annealing on the gate leakage current and breakdown voltage characteristics of AlGaN/GaN high electron mobility transistors (HEMTs) was investigated. The fabricated AlGaN/GaN HEMTs were postannealed at 250, 300, 350, 400, or 450 °C under a nitrogen (N2) atmosphere by using rapid thermal annealing, and both direct current (dc) and pulsed measurements were performed to characterize the changes in device performance. The reverse gate leakage current (IG) at VG = −10 V was reduced by one order of magnitude and the off-state drain breakdown voltage (Voff) increased by over three-fold after postprocess annealing at 450 °C. The reverse gate leakage current was found to be independent of gate-to-drain potential after annealing. The gate pulse measurements revealed the activation of deep traps during the postannealing at elevated temperatures.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate a method for tracking atomically resolved and controlled structures from initial template definition through final nanostructure metrology, opening up a pathway for top-down atomic control over nanofabrication.
Abstract: Reducing the scale of etched nanostructures below the 10 nm range eventually will require an atomic scale understanding of the masks being used in order to maintain exquisite control over both feature size and feature density. Here, the authors demonstrate a method for tracking atomically resolved and controlled structures from initial template definition through final nanostructure metrology, opening up a pathway for top–down atomic control over nanofabrication. First, hydrogen depassivation lithography is performed on hydrogen terminated Si(100) using a scanning tunneling microscope, which spatially defined chemically reactive regions. Next, atomic layer deposition of titanium dioxide produces an etch-resistant hard mask pattern on these regions. Reactive ion etching then transfers the mask pattern onto Si with pattern height of 17 nm, critical dimension of approximately 6 nm, and full-pitch down to 13 nm. The effects of linewidth, template atomic defect density, and line-edge roughness are examined in the context of controlling fabrication with arbitrary feature control, suggesting a possible critical dimension down to 2 nm on 10 nm tall features. A metrology standard is demonstrated, where the atomically resolved mask template is used to determine the size of a nanofabricated sample showing a route to image correction.

Journal ArticleDOI
TL;DR: In this paper, the thermal decomposition of the native GaSb oxides using time resolved x-ray photoelectron spectroscopy with a temperature resolution of better than 1 K was studied.
Abstract: The thermal decomposition of the native GaSb oxides is studied using time resolved x-ray photoelectron spectroscopy with a temperature resolution of better than 1 K. The expected transfer of oxygen from Sb-O to Ga-O before the eventual desorption of all oxides is observed. However, an initial reaction resulting in the reduction of Sb2O3 along with the concurrent increase in both Ga2O3 and Sb2O4 is detected in the temperature range of 450–525 K. Using the relative changes in atomic concentrations of the chemical species observed; the initial reaction pathway is proposed.

Journal ArticleDOI
TL;DR: In this paper, the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching was reported, and the fabricated nanopillar arrays had a typical period of ∼500nm and the depths could be varied from a few nanometers to 4 µm.
Abstract: The authors report on the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching. GaAs nanopillars with different shapes and dimensions were successfully fabricated using inductively coupled plasma reactive ion etching. Two different etch chemistries CH4/H2/Cl2 and Ar/Cl2 were investigated. The fabricated nanopillar arrays had a typical period of ∼500 nm, and the depths could be varied from a few nanometers to 4 μm. The CH4/H2/Cl2 chemistry with optimized gas flows and plasma powers is shown to produce nanopillars with smooth sidewalls compared to those fabricated with the Ar/Cl2 chemistry. The GaAs nanopillar arrays have appreciably lower reflectivities in the measured wavelength range from 400 to 850 nm and are typically one order of magnitude lower compared to planar GaAs, which shows their potential for photovoltaic applications.

Journal ArticleDOI
TL;DR: In this article, a method to fabricate inorganic vertical spacer layers with well-controlled thickness down to 40 nm using electron beam exposure is demonstrated and these spacers are suitable in vertical nanowire transistor configuration.
Abstract: A method to fabricate inorganic vertical spacer layers with well-controlled thickness down to 40 nm using electron beam exposure is demonstrated. These spacers are suitable in vertical nanowire transistor configuration. As spacer material, the authors use hydrogen silsesquioxane (HSQ), a material with low permittivity and high durability. They show that the resulting HSQ thickness can be controlled by electron dose used and it also depend on the initial thickness of the HSQ layer. To achieve good reproducibility, the authors found it necessary to fully submerge the nanowires beneath the HSQ layer initially and that the thickness of HSQ before exposure needs to be determined. Finally, they introduce these steps in an existing transistor process and demonstrate vertical nanowire transistors with high performance.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate the main effects of viscoelastic thermal polymer reflow in an efficient energy and mobility based simulation, based on a finite-element soap-film method using the free software SURFACE EVOLVER.
Abstract: This work demonstrates implementation of the main effects of viscoelastic thermal polymer reflow in an efficient energy and mobility based simulation. The concept is based on a finite-element, soap-film method using the free software SURFACE EVOLVER. Properties of a homogeneous 3D volume are thereby represented by a corresponding 2D surface. The simulation only requires the contact angle between polymer and substrate for infinite long reflow times, obtained from fingerprint experiments, and a mobility value as input. The mobility value is a measure for the polymer-chain mobility and is directly linked to the polymer viscosity. This concept allows for an accurate and fast treatment of the thermomechanically complex polymer behavior close to the glass transition. The simulation time scale is linearly related to the experimental time scale allowing for accelerated-time simulations. Simulation and experiment showed a very good agreement. As a generalized concept, the approach presented here can be used for fast and full 3D shape computation during any complex, energy driven geometry optimization process like polymer reflow, viscoelastic wetting or dewetting and droplet coagulation. This simulation may facilitate a faster uptake of grayscale reflow technologies for industrial processes. Supplementary material supports a quick grasp of the simulation approach.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the best strategies to transfer nanoholes formed from the self-assembly of polystyren/Polymethylmethacrylate (PS/PMMA) based block copolymers into a silicon substrate.
Abstract: The best strategies to transfer nanoholes formed from the self-assembly of Polystyren/Polymethylmethacrylate (PS/PMMA) based block copolymers into a silicon substrate are investigated. The authors show that specific issues are associated with the plasma etching of materials through the PS masks obtained from self-assembly. Indeed, due to the nanometric size of sub-15 nm contact holes and to their inherently high aspect ratio (>5), plasma etching processes typically used to etch SiO2 and silicon in the microelectronic industry must be revisited. In particular, processes where the etching anisotropy relies on the formation of passivation layer on the feature's sidewalls are not adapted to nanometric dimensions because these layers tend to fill the holes leading to etch stop issues. At the same time, the ion bombarding energy must be increased as compared to a typical process to overcome differential charging effects in high aspect-ratio nanoholes. However, by developing appropriate processes—such as synchronized pulsed plasmas—the authors show that it is possible to etch 70 nm deep holes into silicon by using block copolymers and a hard mask strategy. Another interesting observation resulting from these experiments is that for sub-15 nm holes, a critical dimension (CD)-dispersion of few nm leads to strong aspect ratio dependent etch rates. In addition, a careful analysis of the dispersion of the holes' CD after each plasma steps shows that the CD control is far from satisfying advanced CMOS technology requirements. A critical issue comes from the uncompleted PMMA removal from the PS/PMMA matrix during our self-assembly process: variable amount of PMMA remains in the PS holes, leading to microloading effects during the etching steps, which in turn generates CD-control loss. This problem perhaps can be solved by combining UV exposure to acetic acid treatment to provide PS masks free of PMMA residues before plasma etching.

Journal ArticleDOI
TL;DR: In this paper, a simple method to fabricate ultrasmooth single-crystalline silver (Ag) films with high reflectivity and low plasmonic damping was demonstrated.
Abstract: The authors demonstrate a simple method to fabricate ultrasmooth single-crystalline silver (Ag) films with high reflectivity and low plasmonic damping. The single-crystalline Ag thin film on the clean Si (100) substrate is first deposited by electron-gun evaporator and then treated by rapid thermal annealing (RTA) to improve its quality. The crystal structure and surface morphology are characterized by x-ray diffraction, transmission electron microscopy, and atomic force microscopy. Optical constants of the prepared films are extracted by fitting the measured reflectivity spectra with the Drude model. These results show that the Ag film with 340 °C RTA has the best film quality, including small surface roughness of 0.46 nm, a sharp x-ray diffraction peak with FWHM of 0.3°, and lowest damping in the visible and near-infrared wavelength regime. Therefore, our method is not only cost-effective but also useful for fabricating metal-based plasmonic and nanophotonic devices.

Journal ArticleDOI
TL;DR: In this paper, it was shown that the number of dangling bonds produced depends on the size of the primary pattern on the surface, not on the electron dose, indicating that the spurious dangling bonds are formed via an interaction of the liberated hydrogen with the surface.
Abstract: The production of spurious dangling bonds during the hydrogen depassivation lithography process on Si(100)-H is studied. It is shown that the number of spurious dangling bonds produced depends on the size of the primary pattern on the surface, not on the electron dose, indicating that the spurious dangling bonds are formed via an interaction of the liberated hydrogen with the surface. It is also shown that repassivation may occur if hydrogen depassivation lithography is performed near an already patterned area. Finally, it is argued that the product of the interaction is a single dangling bond next to a monohydride silicon on a silicon dimer, with a reaction probability much in excess of that previously observed.

Journal ArticleDOI
TL;DR: In this paper, a method for ultrasonically spraying thin films of carbon nanotubes that have been suspended in organic solvents is described, which does not require removal of surfactant after spraying.
Abstract: Described is a method for ultrasonically spraying thin films of carbon nanotubes that have been suspended in organic solvents. Nanotubes were sonicated in N-methyl-2-pyrrolidone or N-cyclohexyl-2-pyrrolidone (CHP) and then sprayed onto a heated substrate using an ultrasonic spray nozzle. The solvent was quickly evaporated, leaving a thin film of randomly oriented nanotubes. Unlike other methods of spraying nanotube films, this does not require removal of surfactant after spraying and is compatible with creating films of functionalized nanotubes. Film thickness was controlled by the spray time and films were sprayed with thicknesses between 10 and 500 nm. Single-walled, multiwalled, and functionalized multiwalled nanotubes were sprayed. Transparent conducting thin films prepared by spraying single-walled carbon nanotubes dispersed in CHP demonstrated similar sheet resistance (for a given optical transmittance) as those prepared by spraying aqueous polymer-based dispersions that required postdeposition polymer removal.

Journal ArticleDOI
TL;DR: In this article, the authors used an electron-beam Si source with N/Si>1.33 to obtain stoichiometric Si3N4 films when the deposition temperature was greater than 725°C.
Abstract: Silicon nitride (SiNx) thin films were deposited on (100) Si wafers in a molecular-beam epitaxy growth chamber equipped with a customized multipocket electron-beam evaporator, a Si effusion cell, and an RF plasma source for reactive nitrogen. The films were characterized using atomic-force microscopy, spectroscopic ellipsometry, and specular x-ray reflectivity. For films deposited using an electron-beam Si source with N/Si > 1.33, the deposition temperature determined the density and refractive index. Stoichiometric Si3N4 films were produced when the deposition temperature was greater than 725 °C, in agreement with our previous results that used an effusion cell for Si. By using the electron-beam Si source, an order of magnitude increase in SiNx deposition rate was achieved over the conventional effusion cell method.

Journal ArticleDOI
TL;DR: In this article, a variety of metal schemes were tested under various annealing conditions to obtain contacts with optimal qualities, and a Ti/Al/Ti/Ni-Ni/Au (20/120/40/60/50) metal scheme demonstrated the lowest contact resistance (Rc) and a smooth surface morphology.
Abstract: Optimizing surface morphology of ohmic contacts on GaN high electron mobility transistors continues to be a challenge in the GaN electronics industry. In this study, a variety of metal schemes were tested under various annealing conditions to obtain contacts with optimal qualities. A Ti/Al/Ti/Ni/Au (20/120/40/60/50 nm) metal scheme demonstrated the lowest contact resistance (Rc) and a smooth surface morphology, and the mechanisms were investigated by materials analysis. A Ti/Al/Ti/Ni/Au metal scheme with optimized Ti and Ni thicknesses can result in formation of a larger proportion of Al-Ni intermetallics and a continuous TiN interlayer, which results in smooth surface and low Rc.