scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2015"


Journal ArticleDOI
TL;DR: In this paper, the carbon precursors were studied by comparing the efficiency of carbon incorporation in GaN together with their influence on morphology and structural quality of carbon doped GaN, and the results indicated that the C2H2 molecule as a direct precursor, or formed by the gas phase chemistry, is a key species for carbon doping without degrading the GaN quality; however, the CH3 species should be avoided in the carbon doping chemistry.
Abstract: Methane (CH4), ethylene (C2H4), acetylene (C2H2), propane (C3H8), iso-butane (i-C4H10), and trimethylamine [N(CH3)3] have been investigated as precursors for intentional carbon doping of (0001) GaN in chemical vapor deposition. The carbon precursors were studied by comparing the efficiency of carbon incorporation in GaN together with their influence on morphology and structural quality of carbon doped GaN. The unsaturated hydrocarbons C2H4 and C2H2 were found to be more suitable for carbon doping than the saturated ones, with higher carbon incorporation efficiency and a reduced effect on the quality of the GaN epitaxial layers. The results indicate that the C2H2 molecule as a direct precursor, or formed by the gas phase chemistry, is a key species for carbon doping without degrading the GaN quality; however, the CH3 species should be avoided in the carbon doping chemistry.

33 citations


Journal ArticleDOI
TL;DR: Advances in three-dimensional neuronal cell culture platform technology contributing to controlled environments for microtissue engineering and analysis of cellular physiological and pathological responses will lead to the development of improved, highly organized in vitro assays to understand, mimic, and treat brain disorders.
Abstract: In this contribution, the authors present our advances in three-dimensional (3D) neuronal cell culture platform technology contributing to controlled environments for microtissue engineering and analysis of cellular physiological and pathological responses. First, a micromachined silicon sieving structure is presented as key parameter for a modified version of a planar tissue culture, allowing seeding of single neurons in pyramidal shaped pores by a hydrodynamic sieve flow. Second, a nanogroove–hydrogel interface is presented as a more biomimetic in vivo representation of neuronal tissues, where 3D culturing is required to reproduce the layered tissue organization, which is observed in the microenvironment of the brain. To further our understanding of uniquely nanopatterned interfaces, the authors evaluated 3D neuronal outgrowth into Matrigel atop of primary cortical cell (CTX) cultured on nanogrooves. The interface facilitates conformation of cell somas and aligned outgrowth in 3D with outgrowth alignment preserved in Matrigel up to 6 μm above the nanogrooved substrate, which has a pattern height of just 108 nm. Finally, with the view to incorporate these guided culture interfaces in our previously designed hybrid Polydimethylsiloxane bioreactor, the authors have also explored 3D cellular culture matrix as a variable in such systems. By analyzing the effect of different gel matrices (Matrigel, PuraMatrix, and collagen-I) on the neuron model cell line SH-SY5Y, the authors bring together the ability to guide neuronal growth in spatially standardized patterns and within a bioreactor potentially coupled to an array of single cells that could facilitate readout of such complex cultures by integration with existing technologies (e.g., microelectrode arrays). Various combinations of these novel techniques can be made and help to design experimental studies to investigate how changes in cell morphology translate to changes in function but also how changes in connectivity relate to changes in electrophysiology. These latest advancements will lead to the development of improved, highly organized in vitro assays to understand, mimic, and treat brain disorders.

32 citations


Journal ArticleDOI
TL;DR: In this paper, a review of recent progress of R2R UV imprinting technology in terms of UV-curing resin material behavior, innovative apparatus, and typical processes involved in this method including resist filling, UV exposure, UV curing, and demolding is presented.
Abstract: Roll-to-roll (R2R) ultraviolet (UV) imprinting technology, because of its merits of low cost, high throughput, and large-area patterning, is attracting interest from academia and industry for continuous fabrication of micro/nanostructures. Early reports of UV imprinting can be traced back to the 1970s, while R2R UV imprinting did not appear in the literature until 2006. This review surveys recent progress of R2R UV imprinting technology in terms of UV-curing resin material behavior, innovative apparatus, and typical processes involved in this method including resist filling, UV exposure, UV curing, and demolding. Roller mold fabrication is also thoroughly discussed, and challenges and innovations in mold fabrication techniques are comprehensively summarized. Typical industrial applications of UV imprinting are systematically cataloged. Finally, technical challenges and future trends for R2R UV imprinting technology to fabricate micro/nanostructures are presented.

30 citations


Journal ArticleDOI
TL;DR: In this paper, a small molecule 17 β-estradiol (E2) sensor based on aptamer functionalized carbon nanotube network film field effect transistors (CNT FETs) is demonstrated.
Abstract: The authors demonstrate a small molecule 17 β-estradiol (E2) sensor based on aptamer functionalized carbon nanotube network film field effect transistors (CNT FETs). The real time current response for the 35-mer E2 aptamer functionalized CNT FET shows a clear increase in current over the range of 50 nM to 1.6 μM of E2. The E2 response using a longer 75-mer version of the aptamer functionalized CNT FETs, where the aptamer/E2 binding occurs beyond the Debye length, shows no obvious evidence of sensing. The CNT FET sensing platform has been fabricated via a simple surfactant free solution processing route, compatible with further carbon nanotube functionalization to develop a versatile sensing platform. The CNT FET aptasensors are able to perform real time monitoring of E2 levels for selective and quantitative detection of E2 in liquids.

28 citations


Journal ArticleDOI
TL;DR: In this article, the effects of substrate temperature and sputtering gas on the structures and EC properties of iridium oxide thin films prepared by reactive radio-frequency magnetron sputtering were investigated.
Abstract: Iridium oxide is an electrochromic (EC) material, i.e., it shows reversible and persistent changes in optical properties. In this study, the authors investigated the effects of substrate temperature and sputtering gas on the structures and EC properties of iridium oxide thin films prepared by reactive radio-frequency magnetron sputtering. An Ir metal target was sputtered in an O2 or H2O atmosphere at substrate temperatures between −30 and 130 °C. The crystal structures and chemical bonding states of the films were examined using x-ray diffraction and Fourier-transform infrared (IR) spectroscopy, respectively, and their EC properties were studied in 0.5 M aqueous H2SO4 electrolyte solution. IrO2 films were deposited at 70 and 130 °C, and amorphous films were formed at 20 and −30 °C in both O2 and H2O atmospheres. IR absorption peaks from hydrogen-bonded OH groups were clearly observed for films deposited in an H2O atmosphere at substrate temperatures of −30 and 20 °C, which indicates that iridium oxyhydrox...

27 citations


Journal ArticleDOI
TL;DR: In this article, thermochromic thin films of VO2 were produced by reactive DC magnetron sputtering and characterized by atomic force microscopy, scanning electron microscopy and x-ray diffraction, spectrophotometry, and resistance measurements.
Abstract: Thermochromic thin films of VO2 were produced by reactive DC magnetron sputtering and were characterized by atomic force microscopy, scanning electron microscopy, x-ray diffraction, spectrophotometry, and resistance measurements. Depositions took place onto substrates of glass with and without layers of electrically conducting ITO (i.e., In2O3:Sn) and nonconducting SnO2. The substrate conditions were of large importance; thus, VO2 could be prepared on ITO within a significantly wider process window of oxygen partial pressure than for the other substrates and could yield highly granular deposits. VO2 films on ITO typically showed some lattice compression. Our results are valuable for the preparation and implementation of thermochromic glazings, which are of importance for energy efficient buildings.

27 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe a novel route to achieve a high reflectivity, wide bandwidth feedback mirror for GaN-based vertical-cavity light emitters; using air-suspended high contrast gratings in TiO 2, with SiO 2 as a sacrificial layer.
Abstract: © 2015 American Vacuum Society. In this work, the authors describe a novel route to achieve a high reflectivity, wide bandwidth feedback mirror for GaN-based vertical-cavity light emitters; using air-suspended high contrast gratings in TiO 2 , with SiO 2 as a sacrificial layer. The TiO 2 film deposition and the etching processes are developed to yield grating bars without bending, and with near-ideal rectangular cross-sections. Measured optical reflectivity spectra of the fabricated high contrast gratings show very good agreement with simulations, with a high reflectivity of >95% over a 25 nm wavelength span centered around 435 nm for the transverse-magnetic polarization.

24 citations


Journal ArticleDOI
TL;DR: In this paper, a dedicated surface energy modification is implemented in the process flow of a grapho-epitaxy directed self-assembly process using cylindrical phase block copolymers.
Abstract: A graphoepitaxy directed self-assembly process using cylindrical phase block copolymers is regarded as a promising approach for patterning irregularly distributed contact holes in future integrated circuits However, control over cylinder profile and open hole rate, among others, needs to be proven before this technique can be implemented in device fabrication Computational simulation studies predict that selective control over the surface energy of the template bottom and sidewall is crucial for achieving perpendicular cylinders in an adequate range of template dimensions and block copolymer fill levels This work offers an experimental investigation of the influence of the surface energy on the morphology of the assembly inside the template For this study, a dedicated surface energy modification is implemented in our process flow Selective control over the surface energy of the template bottom and sidewall is achieved by using random copolymer brushes The optimization of surface energy prior to the directed self-assembly allows an improvement of the three-dimensional morphology of the assembly as well as larger process windows in terms of template dimensions and template fill In addition, a sidewall that has an affinity for the majority block allows for smaller prepattern templates

18 citations


Journal ArticleDOI
TL;DR: In this paper, an optimized SAW design and a nanofabrication process flow were developed, which enabled superior device performance in terms of frequency, signal losses, and electromagnetic coupling.
Abstract: Surface acoustic wave (SAW) transducers are a well-established component used in numerous sensors, communications, and electronics devices. In this work, the authors report a systematic study of 320–800 nm period lithium niobate SAW interdigitated transducers (IDTs) corresponding to resonant frequencies in the 4–12 GHz range. An optimized SAW design and a nanofabrication process flow were developed, which enabled superior device performance in terms of frequency, signal losses, and electromagnetic coupling. The influence of the device alignment on the substrate crystal planes, in addition to the IDT period and electrode design, is found to have a significant impact on various process metrics. As an example, two identical SAW transducers fabricated perpendicular to each other may have a resonant frequency difference approaching 1 GHz, for the same harmonic mode. These and other trends are presented and discussed.

17 citations


Journal ArticleDOI
TL;DR: In this article, a method of computing x-ray photoemission spectra in a wide range of energy losses and different sighting angles is presented, using the invariant imbedding principle.
Abstract: A method of computing x-ray photoemission spectra in the wide range of energy losses and different sighting angles is presented. Photoemission spectra for layers of finite thickness are investigated. Angular and energy spectra are analyzed using the invariant imbedding principle. They are computed using small-angle approximation and the exact numerical solution of the multiple photoelectron scattering events in solids. The presented methods of x-ray photoemission spectra analysis are compared regarding their efficiencies. Comparison of the exact numerical solution to those based on straight line approximation and small-angle approximation reveals an error in straight line approximation of about 50%. Numerical solutions are compared with the experimental data and Monte-Carlo simulations.

14 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrated flexible memory thin-film transistors (MTFTs) with organic ferroelectric polymer poly(vinylidene fluoride-co-trifluoroethylene) and an amorphous oxide semiconducting indium gallium zinc oxide channel on the elastomer substrates.
Abstract: The authors demonstrated flexible memory thin-film transistors (MTFTs) with organic ferroelectric polymer poly(vinylidene fluoride-co-trifluoroethylene) and an amorphous oxide semiconducting indium gallium zinc oxide channel on the elastomer substrates. The carrier mobility, memory on/off ratio, and subthreshold swing of the flexible MTFTs showed 21 cm2V−1s−1, 107, and 0.5–1 V/decade, respectively. The memory window of 13 V at ±20 V programming was confirmed for the device without any interface layer. These obtained values did not significantly change when the substrate was bent with a radius of curvature of 10 mm. The memory on/off ratio was initially 5 × 104 and maintained at 102 even after a lapse of 3600 s. The fabricated MTFTs exhibited encouraging characteristics on the elastomer that are sufficient to realize mechanically flexible nonvolatile memory devices.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the photoresponse of a double-layer oxide semiconductor (GaInZnO-InZNO) thin-film transistor (TFT) under illumination, where the photocurrent in the negative gate bias region increased significantly without a negative shift in the threshold voltage.
Abstract: The authors investigated the photoresponse of a double-layer oxide semiconductor (GaInZnO–InZnO) thin-film transistor (TFT) under illumination, where the photocurrent in the negative gate bias region increased significantly without a negative shift in the threshold voltage. In particular, in the forward gate bias sweep direction (from −VG to +VG), the hysteresis of the transfer curves of the photosensor TFT became pronounced when the negative gate bias and its duration were increased. Additionally, the photocurrent level of the TFT remained almost the same as the level measured using a DC reverse gate bias sweep mode (from +VG to −VG). An analysis of the transfer curves, capacitance–voltage curves, and energy band diagrams indicates that the hysteresis characteristics can be explained by the competing effects of electrical-stress-induced defect generation and the screening of the negative gate bias by doubly positively charged oxygen vacancies depending on the gate bias polarity. In particular, the origin...

Journal ArticleDOI
TL;DR: In this article, the fabrication of nanodamascene metallic single electron transistors that take advantage of unique properties of chemical mechanical polishing and atomic layer deposition is reported, and the single-electron transistor operation of a prototype device was successfully demonstrated at T < 1/K.
Abstract: The fabrication is reported of nanodamascene metallic single electron transistors that take advantage of unique properties of chemical mechanical polishing and atomic layer deposition. Chemical mechanical polishing provides a path for tuning the dimensions of tunnel junctions by adjusting the polish time, surpassing the limits imposed by electron beam lithography and lift-off, while atomic layer deposition provides precise control over the thickness of the tunnel barrier and significantly increases the choices for barrier materials. Single-electron transistor operation of a prototype device was successfully demonstrated at T < 1 K.

Journal ArticleDOI
TL;DR: In this paper, a novel method of fluorine incorporation into the gate dielectric by gaseous thermal NF3 interface treatments for defect passivation has been investigated in 28 nm high-k metal gate technology with respect to improvement in device reliability.
Abstract: A novel method of fluorine incorporation into the gate dielectric by gaseous thermal NF3 interface treatments for defect passivation have been investigated in 28 nm high-k metal gate technology with respect to improvement in device reliability. The thermal treatment suppresses physical interface regrowth observed in previous plasma-assisted fluorine treatments. Detailed defect characterization by spectroscopic charge pumping is used to characterize the influence of fluorine on trap states in the interfacial oxide layer. Comprehensive structural as well as electrical characterization linked with bias temperature instability measurements indicates the potential of improving reliability in high-k metal gate technology by gaseous introduction of fluorine into the gate dielectric.

Journal ArticleDOI
TL;DR: In this paper, the interface properties of a metal oxide semiconductor capacitor with a 3'nm HfAlO/0.5'nm SiO2/Si stacks prepared by various processing conditions were investigated.
Abstract: This work investigates the interface properties in a metal oxide semiconductor capacitor device with a 3 nm HfAlO/0.5 nm SiO2/Si stacks prepared by various processing conditions. Different Al doping, different postannealing temperatures, and different deposition steps and stacks were considered. Equivalent oxide thickness and flat band voltage (VFB) were obtained from capacitance–voltage measurements. After the measurement, a simple approach was used to correct the error introduced by the series resistance Rs associated with the substrate and contact while carefully monitoring the impact of the tunneling current. The interface state density (Dit) was calculated by the conductance method, and it was observed that the Dit is dependent on the structure of hafnium aluminum oxide film. The amorphous structure has the lowest Dit ( 2.76×1011 eV−1cm−2) whereas tetragonal HfO2 has the highest Dit ( 1.27×1012 eV−1cm−2). The Dit values of other structures are within the range of observed highest and lowest values.

Journal ArticleDOI
TL;DR: In this paper, a simple method is proposed for inclusion of inelastic effects (electron absorption) in computations of low-energy electron reflectivity (LEER) spectra.
Abstract: A simple method is proposed for inclusion of inelastic effects (electron absorption) in computations of low-energy electron reflectivity (LEER) spectra. The theoretical spectra are formulated by matching of electron wavefunctions obtained from first-principles computations in a repeated vacuum–slab–vacuum geometry. Inelastic effects are included by allowing these states to decay in time in accordance with an imaginary term in the potential of the slab, and by mixing of the slab states in accordance with the same type of distribution as occurs in a free-electron model. LEER spectra are computed for various two-dimensional materials, including free-standing multilayer graphene, graphene on copper substrates, and hexagonal boron nitride on cobalt substrates.

Journal ArticleDOI
TL;DR: In this paper, the use of gated field emitters with a faceted cathode in place of the conventional thermionic cathode was proposed to control the current injection in a magnetron, both temporally and spatially.
Abstract: It has been proposed that the use of gated field emitters with a faceted cathode in place of the conventional thermionic cathode could be used to control the current injection in a magnetron, both temporally and spatially. In this work, this concept is studied using the particle-in-cell code VORPAL. The magnetron studied is a ten-cavity, rising sun magnetron, which can be modeled easily using a 2D simulation. The magnetron has a ten-sided faceted cathode. The electrons are injected from three emitter elements on each of the ten facets. Each emitter is turned ON and OFF in sequence at the oscillating frequency with five emitter elements ON at once to obtain the five electron spokes of the π-mode. The simulation results show that the modulated, addressable cathode reduces startup time from 100 to 35 ns, increases the power density, controls the RF phase, and allows active phase control during oscillation.

Journal ArticleDOI
TL;DR: In this paper, a pseudorandom test pattern is used to characterize dimensional metrology equipment over its entire dynamic range by extracting the modulation transfer function of the system, and the test pattern contains alternating lines of silicon and tungsten silicide, each according to its designed width.
Abstract: Any metrology tool is only as good as it is calibrated. The characterization of metrology systems requires test patterns at a scale about ten times smaller than the measured features. The fabrication of patterns with linewidths down to 1.5 nm is described. The test sample was designed in such a way that the distribution of linewidths appears to be random at any location. This pseudorandom test pattern is used to characterize dimensional metrology equipment over its entire dynamic range by extracting the modulation transfer function of the system. The test pattern contains alternating lines of silicon and tungsten silicide, each according to its designed width. The fabricated test samples were imaged using a transmission electron microscope, a scanning electron microscope, and an atomic force microscope.

Journal ArticleDOI
TL;DR: In this article, the first experimental characterization of a fiber tip-based electron source, where electron emission can be triggered by both electric field and optical excitation, is presented, which suggests that thermal effects are predominant in the studied regime.
Abstract: The authors report on the first experimental characterization of a fiber tip-based electron source, where electron emission can be triggered by both electric field and optical excitation. Our approach consists of coating the open aperture of a commercial 100 nm apex size near-field scanning optical microscopy fiber tip with a 10 nm thick tungsten (W) layer, which is back-illuminated by a 405 nm continuous-wave laser beam in the presence of an extraction electric field. Despite the very low optical transmission of the fiber due to the subwavelength aperture size, measurements show a clearly enhanced emission when photoexciting the W layer with respect to pure field emission. The emission response time is slower than the optical trigger time, suggesting that thermal effects are predominant in the studied regime. To back up this hypothesis, the authors fabricated a nanometric thermocouple probe based on a Pt/Au junction and measured the temporal response of the tip temperature. The measured switch-on time for the tip temperature is consistent with the switch-on time of the optically enhanced electron emission.

Journal ArticleDOI
TL;DR: In this paper, the apparent beam size of focused ion beam (FIB) is defined as the smallest possible dot that FIB can sputter in a given specimen, and the method of evaluating it is developed based on the analysis of a series of scanning electron images of FIB produced nanodots.
Abstract: In this paper, the new term apparent beam size of focused ion beam (FIB) is introduced and an original method of its evaluation is demonstrated. Traditional methods of measuring the beam size, like the knife edge method, provide information about the quality of the beam itself, but practically, they do not give information on the FIB sputtering resolution. To do this, it is necessary to take into account the material dependent interaction of the beam with the specimen and the gas precursor in the vacuum chamber. The apparent beam size can be regarded as the smallest possible dot that FIB can sputter in a given specimen. The method of evaluating it, developed in this paper, is based on the analysis of a series of scanning electron images of FIB produced nanodots. Results show that the apparent beam size can be up to five times larger than the actual physical size of the beam and it is significantly influenced by the presence of gas precursor. It is also demonstrated that the apparent beam size can be used as a reference value for optimization of the beam step during raster scanning.

Journal ArticleDOI
TL;DR: Hollow iron-doped calcined silica nanoshells have been used as a model to demonstrate that potassium hydroxide and bath sonication at 50 °C can extract elements from alkaline-soluble nanomaterials.
Abstract: A simple method for trace elemental determination in biological tissue has been developed. Novel nanomaterials with biomedical applications necessitate the determination of the in vivo fate of the materials to understand their toxicological profile. Hollow iron-doped calcined silica nanoshells have been used as a model to demonstrate that potassium hydroxide and bath sonication at 50 °C can extract elements from alkaline-soluble nanomaterials. After alkali digestion, nitric acid is used to adjust the pH into a suitable range for analysis using techniques such as inductively coupled plasma optical emission spectrometry which require neutral or acidic analytes. In chicken liver phantoms injected with the nanoshells, 96% of the expected silicon concentration was detected. This value was in good agreement with the 94% detection efficiency of nanoshells dissolved in aqueous solution as a control for potential sample matrix interference. Nanoshell detection was further confirmed in a mouse 24 h after intravenous administration; the measured silica above baseline was 35 times greater or more than the standard deviations of the measurements. This method provides a simple and accurate means to quantify alkaline-soluble nanomaterials in biological tissue.

Journal ArticleDOI
TL;DR: In this paper, a facile, low-cost methodology to fabricate high-performance In-Ga-Zn-O (IGZO) bottom contact, bottom gate thin-film transistors (TFTs) by soft lithography was presented.
Abstract: The authors present a facile, low-cost methodology to fabricate high-performance In-Ga-Zn-O (IGZO) bottom contact, bottom gate thin-film transistors (TFTs) by soft lithography. The IGZO channel and indium tin oxide (ITO) source and drain were patterned using microcontact printing of an octadecylphosphonic acid self-assembled monolayer (SAM). A polymer stamp was used for the pattern transfer of the SAMs, which were then used as a chemical protection layer during wet etching. Excellent pattern transfer was obtained with good resolution and sharp step profiles. X-ray photoelectron spectroscopy indicated that the microcontact printed SAMs can be effectively removed from the ITO source/drain surfaces, allowing a high-quality interface to the IGZO channel for good device performance. Scanning electron microscopy cross-sections of the devices indicate a smooth and defect-free transition regions between the source/drain and semiconductor regions. The fabricated TFTs have negligible gate-leakage currents, high ave...

Journal ArticleDOI
TL;DR: In this paper, the field-emission and beam collimation characteristics of single metal nanotip devices with double-gate electrodes are studied, and the results indicate that these single-gate devices are highly promising for electron beam applications that require extremely high brilliance and coherence.
Abstract: Field-emission and beam collimation characteristics of single metal nanotip devices with double-gate electrodes are studied. Applying a previously developed method to fabricate all-metal double-gate nanotip arrays with a stacked on-chip extraction Gext and collimation Gcol gate electrodes with the large Gcol apertures, the authors produced single double-gate nanotip devices and measured their beam characteristics. Excellent beam collimation capability with minimal reduction of the emission current and the enhancements of the current density up to a factor of ∼7 was observed. The results indicate that these single nanotip devices are highly promising for electron beam applications that require extremely high brilliance and coherence.

Journal ArticleDOI
TL;DR: In this paper, two growth templates for hexagonal LaLuO3 are presented, Y2O3 on Si (111) and GaN on Al2O 3 (0001), which offer the possibility to stabilize this hexagonal phase.
Abstract: Among the different polymorphs of LaLuO3 the hexagonal one is the least explored. Therefore, in this work, hexagonal LaLuO3 is grown and investigated in more detail. Two different growth templates are presented, offering the possibility to stabilize this hexagonal phase: Y2O3 on Si (111) and GaN on Al2O3 (0001). The LaLuO3 layers show smooth surfaces and high crystallinity for both types of templates. Spectroscopic characterization reveals a wide bandgap Eg of 5.6 eV and capacitance voltage measurements display a relative permittivity κ of 26, which makes hexagonal LaLuO3 a promising candidate as a future gate dielectric for devices based on hexagonal semiconductors such as GaN.

Journal ArticleDOI
TL;DR: In this article, Nanocrystalline Si (nc-Si) with mean size of about 4nm embedded in amorphous SiN film was prepared by annealing Si-rich SiN matrix, and the film compositions and microstructures were revealed by x-ray photoelectron spectroscopy, Raman spectroscope, and transmission electron microscopy.
Abstract: Nanocrystalline Si (nc-Si) with mean size of about 4 nm embedded in amorphous SiN film was prepared by annealing Si-rich amorphous SiN film. The film compositions and microstructures were revealed by x-ray photoelectron spectroscopy, Raman spectroscopy, and transmission electron microscopy. It was found the room temperature conductivity is increased from 7 × 10−9 to 1 × 10−5 S/cm due to the formation of nc-Si. The carrier transport process of nc-Si embedded in amorphous SiN matrix is dominated by trap-assisted tunneling mechanism. Moreover, by forming a-SiN0.81/nc-Si(SiN)/a-SiN0.81 sandwiched floating gate structures, both electron and hole can be injected and stored in nc-Si by controlling the applied bias polarity. A large memory window up to about 7 V was observed, and the stored carrier density was about 1012 cm−2. Our experimental results suggested that the interface states of nc-Si can be well passivated by the amorphous SiN matrix, which results in the good charge storage effect.

Journal ArticleDOI
TL;DR: In this paper, the structural and topographical changes occurring in a positive resist known as SML after electron beam lithography are presented, which is very important for understanding the lithographic performance of the resist.
Abstract: Study of topographical and structural changes occurring in a positive resist known as SML after electron beam lithography are presented in this article. The authors also defined its chemical structure, which is very important for understanding the lithographic performance of the resist. The structural and lithographic properties of SML have been compared to the traditional ZEP resist. First, the change in the surface roughness with respect to the electron dose of SML and ZEP resists was measured. It was found that both resists start off with similar initial roughness values. However, ZEP was observed to have a higher roughness at the apex electron dose, thereafter a reduction in roughness was observed. The roughness variation in the two resists reflected on the resolution of the gratings that were patterned in both the resists. Gratings in SML showed smoother line edge roughness, and the patterns transferred using SML resist showed more even features than the ones transferred with ZEP. Subsequently, to un...

Journal ArticleDOI
TL;DR: In this paper, the authors proposed a system for unmanned aerial vehicles (UAVs) that is partially supported by Lockheed Martin and the University of Texas at Dallas (UTD).
Abstract: This work was partially supported by Lockheed Martin and the University of Texas at Dallas.

Journal ArticleDOI
TL;DR: In this article, a process for the fabrication of free-standing biodegradable casein devices with micro-and nanoscale regular and biomimetic surface features was introduced.
Abstract: This work introduces a novel process for the fabrication of free-standing biodegradable casein devices with micro- and nanoscale regular and biomimetic surface features. Fabrication of intermediate polydimethylsiloxane (PDMS) moulds from photoresist masters and liquid-casting of casein is used to transfer arbitrary geometrical shapes onto the surface of casein devices. Casein film composition was optimized for mechanical stability and pattern resolution. It was found that 15% casein in 0.2% NaOH solution, mixed with 10% glycerol, and cross-linked by addition of 2% glutaraldehyde produced the best pattern transfer results. Biomimetic cell-like shapes were transferred onto casein by use of bioimprinting of two-dimensional cell-cultures into PDMS. To demonstrate this process, C2C12 mouse myoblasts were cultured on microscope slides, replicated into PDMS and casein using liquid casting and drying. Recessed alignment grids were integrated into the microscope glass slides to facilitate direct comparison of original cells and their bioimprints on PDMS and casein. Optical microscopy and atomic force microscopy confirmed the transfer of micron-scale morphological features, such as cell outlines, nuclei and larger lamellipodia, into the casein surface. Nanoscale feature resolution in casein was found to be limited compared to the PDMS intermediate moulds, which was attributed to limited wetting of the aqueous casein solution. Strategies to increase resolution of the casein transfer step, as well as degradation behavior of the fabricated devices in cell culture media are currently underway. Substrates fabricated with this process have applications in stem cell engineering, regenerative medicine, and implantable devices.

Journal ArticleDOI
TL;DR: In this article, a plasma-assisted Ag and Au subtractive etch was investigated in CH4 plasmas at 10 °C. The etch rate of Ag (29 ± 2nm/min) was higher than that observed for Cu (17 nm/min), while the Au etch performance was lower than that for both Ag and Cu.
Abstract: Plasma-assisted Ag and Au subtractive etching was investigated in CH4 plasmas at 10 °C. The etch rate of Ag (29 ± 2 nm/min) was higher than that observed for Cu (17 nm/min), while the Au etch rate (12 nm/min) was lower than that for both Ag and Cu. Etch rates of Ag and Au due to pressure variation decreased as pressure increased, analogous to Cu etch results. However, the specific plasma conditions under which hydrocarbon formation occurred on Cu, Ag, and Au depended upon the metal being etched as a result of variation in surface chemical reactivities. Comparison of etch results using glass slides and Si wafers as etch masks, confirmed the formation of volatile etch products for Cu and Au. Etch product removal for Au and Cu was enhanced by UV photons, while Ag etching showed no effect at wavelengths > 300 nm. These studies demonstrated that in CH4 plasmas, chemical components in the etch process are most important for Cu while Ag and Au etching is more dependent upon physical sputtering.

Journal ArticleDOI
TL;DR: In this paper, metal contamination on few-layer graphene grown on SiC(0001) was successfully removed from the surface using low cost adhesive tape, causing minimal damage to the graphene lattice.
Abstract: Metal contamination deposited on few-layer graphene (3 ± 1 monolayers) grown on SiC(0001) was successfully removed from the surface, using low cost adhesive tape. More than 99% of deposited silver contamination was removed from the surface via peeling, causing minimal damage to the graphene. A small change in the adhesion of graphene to the SiC(0001) substrate was indicated by changes observed in pleat defects on the surface; however, atomic resolution images show the graphene lattice remains pristine. Thin layers of contamination deposited via an electron gun during Auger electron spectroscopy/low energy electron diffraction measurements were also found to be removable by this technique. This contamination showed similarities to “roughened” graphene previously reported in the literature.