scispace - formally typeset
Search or ask a question

Showing papers in "Solid-state Electronics in 1994"


Journal ArticleDOI
TL;DR: In this paper, a better modeling of the silicon bandgap at inversion conditions is presented, where E g QM = E g CONV + 13 9 Δ ϵ in which Δϵ is the position of the first energy level with respect to the bottom of the conduction band.
Abstract: The transistor parameters of state-of-the-art MOSFETs are affected by quantisation effects of the carrier motion in the inversion channel. To account for these effects in classical device stimulators, we show that a better modeling of the silicon bandgap at inversion conditions is ifE g QM = E g CONV + 13 9 Δϵ in which Δϵ is the position of the first energy level with respect to the bottom of the conduction band. The improved modeling of the bandgap leads to a new model for the intrinsic carrier concentration ni. The model for ni has been tested against measurements and against self-consistent QM calculations. Excellent agreement is obtained.

287 citations


Journal ArticleDOI
TL;DR: In this article, a quantitative analysis of the current-voltage characteristic based on electron tunnelling from a degenerate accumulation layer through the SiO2 barrier into the metal is made.
Abstract: Ultrathin oxide layers, 2– nm thick, have been grown on (100) n-Si by Rapid Thermal Oxidation (RTO) at 900°C. RTO is an effective method to control the oxide thickness in this range to within 10%. The direct tunnelling through these ultrathin layers is examined with current-voltage and impedance measurements on Al/SiO2/n-Si structures with an oxide layer thickness between 2 and 4 nm. After the determination of the surface potential vs bias relation and the oxide layer capacitance from the capacitance-voltage measurements, a quantitative analysis of the current-voltage characteristic based on electron tunnelling from a degenerate accumulation layer through the SiO2 barrier into the metal is made. A very good agreement with the theory is obtained assuming a simple trapezoidal tunnel barrier for the SiO2, from which the tunnel barrier height and the electron effective mass in the SiO2 bandgap are derived. The density of interface traps at the Si/SiO2 interface is determined using the conductance method. Only a very small increase of interface trap density with decreasing oxide layer thickness is found. The very high density of interface traps (more than 3 × 1012 cm−2 eV−1) can be reduced to the 1010 cm−2 eV−1 level by application of a conventional Post Metallization Anneal (PMA).

136 citations


Journal ArticleDOI
TL;DR: In this paper, high tetrahedral amorphous carbon (ta-C) films and its hydrogenated form (Ta-C:H) have been deposited using a filtered cathodic arc.
Abstract: Highly tetrahedral amorphous carbon (ta-C) films and its hydrogenated form (ta-C:H) have been deposited using a filtered cathodic arc. In this paper, the optical and electronic band-gaps of amorphous diamond and its hydrogenated form ta-C:H, are shown to be in the range 2 eV. The H content of ta-C:H is found to be about 3 at.% using elastic recoil detection analysis (ERDA) and electron energy loss spectroscopy (EELS) is used to show that ta-C:H does keep its tetrahedral structure. Both materials are found to be stable at temperatures up to 1000 K. The room temperature conductivity of 10−7−10−8 Ω−1cm−1 is shown to be thermally activated, with activation energies in the range 0.2–0.3 eV. A detailed study made from the current-voltage characteristics of ta-C/p-Si heterojunctions shows that current flow is space-charge limited (SCLC) and influenced by bulk traps. The density of states profile, N(fE), in the region of the quasi-Fermi level is calculated using the differential method and found to be of the order 1018 cm−13 eV−1. Optical absorption data is coupled with the electrical results to confirm that the valence band density of states is of the order 1021 cm−13 eV−1. It is also shown that there is a reduction of nearly one order of magnitude in the N(E) at the quasi-Fermi level upon hydrogenation. SCLC measurements are complemented with surface admittance measurements (SAM) which yield a value of 1011 cm−2 eV−1 for the interfacial density of states at the ta-C/p-Si junction. A decrease in Nss is also observed at the ta-C:H/p-Si interface in agreement with the SCLC results.

81 citations


Journal ArticleDOI
TL;DR: In this paper, a coplanar Schottky contacts on a high resistivity GaAs sample were used to detect high frequency gain in metal-semiconductor-metal (MSM) photodetectors.
Abstract: Different gain mechanisms are observed in metal-semiconductor-metal (MSM) photodetectors consisting of coplanar Schottky contacts on a high resistivity GaAs sample. I–V curves taken at different frequencies reveal low-frequency gain due to surface charges. Additionally, the time-resolved photocurrent response indicates the presence of high frequency gain, manifesting itself as a 200 ps long tail in the impulse response. We attribute this effect to injection of electrons by tunneling from the cathode into the semiconductor. The electron injection is caused by holes trapped at defects in the vicinity of the contact. We present a theoretical model for the high-frequency gain, which shows good agreement with the experimental data and explains the observed variation of the tail amplitude with excitation density and contact distance.

80 citations


Journal ArticleDOI
TL;DR: In this paper, the authors derived analytical models for the subthreshold slope, threshold voltage, and induced electron concentration of a double-gate SOI MOSFET, and clarified the dependence of the device characteristics on device parameters.
Abstract: Using a perturbation theory, we derived an analytical surface potential expression for subthreshold and strong-inversion regions. This enabled us to derive analytical models for the subthreshold slope, threshold voltage, and induced electron concentration of a double-gate SOI MOSFET. We also clarified the dependence of the device characteristics on device parameters, and explained the ideal subthreshold factor. We do not expect volume inversion in practical devices. Our models' predictions agree well with numerical and experimental data.

64 citations


Journal ArticleDOI
TL;DR: In this article, the fabrication of GaAs quantum wires and quantum dots using an in situ MOCVD selective growth technique on SiO 2 patterned substrates, including the optical properties of those nano-structures were discussed.
Abstract: We discuss fabrication of GaAs quantum wires and quantum dots using an in situ MOCVD selective growth technique on SiO 2 patterned substrates, including the optical properties of those nano-structures As for the GaAs quantum wires, triangular-shaped GaAs quantum wires with a lateral width less than 10 nm were obtained The photoluminescence (PL) and magneto-PL measurements clearly demonstrate the existence of the quantum wire effects in the structures In addition, InGaAs strained quantum wires were also fabricated Using a similar but slightly different selective growth technique, GaAs dots with a dimension of 25 × 25 × 12 nm surrounded by AlGaAs regions were prepared

57 citations


Journal ArticleDOI
TL;DR: In this article, a brief review of the main physical phenomena involved in the cryogenic operation of CMOS silicon devices down to liquid helium temperature is given, where several aspects such as quantification of the inversion layer, the electronic transport in the 2D electron or hole gases, scattering mechanisms, impurity freezeout in the substrate or in the lightly doped source and drain regions, the field-assisted impurity and impact ionization phenomena, the influence of series resistance and other parasitic effects (kink effect, hysteresis, transient, …) which alter the
Abstract: A brief review of the main physical phenomena involved in the cryogenic operation of CMOS silicon devices down to liquid helium temperature is given. Going from solid state physics towards electrical engineering point of views, several aspects such as the quantification of the inversion layer, the electronic transport in the 2D electron or hole gases, the scattering mechanisms, the impurity freeze-out in the substrate or in the lightly doped source and drain regions, the field-assisted impurity and impact ionization phenomena, the influence of series resistance and other parasitic effects (kink effect, hysteresis, transient, …) which alter the device characteristics will be discussed. The short channel effects such as drain induced barrier lowering, punch through, velocity overshoot will also be addressed.

57 citations


Journal ArticleDOI
TL;DR: In this paper, the role of discrete localized states on the current-voltage characteristics of metal-semiconductor contact was examined, and it was found that, because of these localized states, the logarithmic current vs voltage characteristics become nonlinear.
Abstract: The role of discrete localized states on the current-voltage characteristics of metal-semiconductor contact is examined. It is seen that, because of these localized states, the logarithmic current vs voltage characteristics become nonlinear. Such nonlinearity is found sensitive to the temperature, and the energy and density of the localized states. The predicted temperature dependence of barrier height and the current-voltage characteristics are in agreement with the experimental results of Aboelfotoh [ Phys. Rev. B 39, 5070 (1989)].

56 citations


Journal ArticleDOI
TL;DR: In this article, the authors examined the physics and characterization of scaled SONOS NVSM transistors in relation to reducing the programming voltage and developed a model for the transient characteristics of the SSONOS transistor with a simple closed-form solution valid for short programming times and a numerical solution covering the entire range of programming times.
Abstract: Present-day low-power, portable lap-top computers and consumer products require non-volatile semiconductor memory (NVSM) operating at 5 V with a trend towards reducing this level to 3.3 V. The SONOS technology, an acronym for the polySilicon-blocking Oxide-Nitride-tunnel Oxide-Silicon structure used in capacitors and transistors, shows promise as a technology for present and future low voltage NVSM applications. The nitride layer in the dielectric sandwich permits the storage of charge resulting in adjustable threshold voltages. This paper examines the physics and characterization of scaled SONOS NVSM transistors in relation to reducing the programming voltage. We develop a model for the transient characteristics of the SONOS NVSM transistor with: (1) a simple closed-form solution valid for short programming times; and (2) a numerical solution covering the entire range of programming times. The simple closed-form solution clearly illustrates the dependence of the turn-on time and erase/white slope on the dielectric thicknesses, initial stored charge in the nitride, and programming voltage. In particular, we have examined: (1) decreasing the tunnel oxide thickness; and (2) scaling the blocking oxide thickness. By properly scaling the dielectric films (11 A tunnel oxide, 50 A nitride, 40 A blocking oxide), a ±8 V programmable SONOS device has been obtained with a 50 μs write time and a 100 μs erase time for a 3 V memory window, and a ±5 V programmable device with a 100 ms erase and write time for a 1.5 V memory window.

54 citations


Journal ArticleDOI
TL;DR: In this paper, a new method is proposed to use an L-array for extracting the low field mobility μ00, the mobility degradation coefficient θ, the channel length correction l′, and the parameters Rs0 and Rsv for the gate-voltage-dependent series resistance on the source side RsS = Rs0 + Rsv/VG∗.
Abstract: P-channel LDD MOSFETs with a p+-poly gate and an n+-poly gate are investigated. The p+-poly gate forms a surface channel and the n+-pol gate a bulk channel. A new method is proposed to use an L-array for extracting the low field mobility μ00, the mobility degradation coefficient θ, the channel length correction l′, and the parameters Rs0 and Rsv for the gate-voltage-dependent series resistance on the source side RsS = Rs0 + Rsv/VG∗. A bulk channel device has a higher μ00 value, a Rsv and a smaller series resistance RDd on the drain side than a surface channel device. The θ value and the gate-voltage-independent part of the series resistance Rs0 are about the same in both devices. Under the same external bias conditions, a surface channel MOSFET has a smaller current and a higher 1/f current noise than a bulk channel device. The 1/f noise parameter α is found to be independent of the effective gate voltage and of the channel length for both defices. The bulk channel MOSFET shows α values of about 4×10−7 which are among the lowest values ever reported in literature. The α values in the surface channel devices are about two orders of magnitude higher than those in the bulk type. Comparing the d.c. characteristics and 1/f noise of both devices, an indication is given on how to reduce the 1/f noise in a surface p-channel MOSFET.

53 citations


Journal ArticleDOI
TL;DR: In this paper, a comprehensive on-line electrical characterization technique addressing the emerging Si/SiGe MOS technology is presented, which demonstrates that the experimental high frequency and low frequency C-V characteristics of Si and SiGe heterostructure MOS capacitors can provide accurate material-, process-, and device-related information such as: the valence band offset, Si cap layer thickness, substrate doping, and MOSFET threshold voltages.
Abstract: This paper presents a comprehensive on-line electrical characterization technique addressing the emerging Si/SiGe MOS technology. It demonstrates that the experimental high frequency and low frequency C-V characteristics of Si/SiGe heterostructure MOS capacitors can provide accurate material-, process-, and device-related information such as: the valence band offset, Si cap layer thickness, substrate doping, and MOSFET threshold voltages.

Journal ArticleDOI
TL;DR: An analytical model for the sub-threshold slope of the accumulation-mode p-channeI SOI MOSFET is developed in this article, where the exact solution of the equations reveals that the subthreshold swing is slightly larger (by a few percent) than that of enhancement (inversion-mode) fully depleted SOI devices.
Abstract: An analytical model for the subthreshold slope of the accumulation-mode p-channeI SOI MOSFET is developed. The exact solution of the equations reveals that the subthreshold swing is slightly larger (by a few percent) than that of enhancement (inversion-mode) fully depleted SOI devices. In most cases, however, the classical subthreshold slope expression developed for inversion-mode fully depleted SOI MOSFET can be used as a good approximation for accumulation-mode devices, which means that the subthreshoId swing tends to the ideal value of S-0 = kT/q 1n(10) mV/dec if the buried oxide is sufficiently thick and if the interface trap density is sufficiently low.

Journal ArticleDOI
TL;DR: In this paper, the existence of an absolute photonic bandgap in the near-infrared for two-dimensional periodic dielectric structures is discussed for photons propagating in the plane of such 2D crystals.
Abstract: The existence of an absolute photonic bandgap in the near-infrared for two-dimensional periodic dielectric structures is discussed for photons propagating in the plane of such 2D crystals. A special emphasis is put on the influence of the shape and size of the filling pattern on the absolute bandgap formation. A very large absolute photonic bandgap is predicted for 2D crystals formed by etching into a semiconductor slab a periodic array of large vertical cylindric voids of circular cross-section arranged in a triangular lattice. The technological feasibility of such “optimum” air/GaAs 2D crystals by standard processing techniques (electron beam lithography and reactive ion etching) is demonstrated.

Journal ArticleDOI
TL;DR: In this article, a new method was proposed for the determination of bulk minority carrier diffusion length and surface recombination velocity using data from an EBIC line scan in which the current collecting p − n junction or Schottky barrier is parallel to the electron beam.
Abstract: A new method is proposed for the determination of bulk minority carrier diffusion length and surface recombination velocity. This method uses data from an EBIC line scan in which the current collecting p − n junction or Schottky barrier is parallel to the electron beam. A 3-D computer simulation was used to verify the accuracy of the method. It was found that this method is simpler to use and more accurate than existing methods.

Journal ArticleDOI
TL;DR: In this article, a modification of photoreflectance spectroscopy was proposed to separate contributions originating from various regions of the structures, and the proposed technique of phase separation, along with the Fourier analysis of Franz-Keldysh oscillations, enabled to obtain quantitatively defined energy band diagrams of delta-doped and SIN+ structures.
Abstract: Built-in interface electric fields in MBE-grown delta-doped and other layered structures were studied by a modification of photoreflectance spectroscopy, which allowed us to separate contributions originating from various regions of the structures. The modification explores differences in temporal responses of photoreflectance contributions and the respective differences in the phase shift between photoreflectance signal and modulated pump. Proper selection of modulation frequency and phase angle of a phase-sensitive detector allowed us to suppress one of the contributions and to extract the other. The proposed technique of phase separation, along with the Fourier analysis of Franz-Keldysh oscillations, enabled us to obtain quantitatively defined energy band diagrams of delta-doped and SIN+ structures. It was found that Fermi level is pinned by the interface states both at the GaAs undoped buffer/semiinsulating substrate interface and at the n+-GaAs buffer/n+-GaAs substrate interface. These interface states are presumably due to defects which arise at the initial stages of the molecular beam epitaxy.

Journal ArticleDOI
TL;DR: In this paper, a new approach to modeling the current vs voltage characteristics of heterojunction bipolar transistors (HBTs) is introduced, using McKelvey's flux method to treat carrier transport.
Abstract: A new approach to modeling the current vs voltage characteristics of heterojunction bipolar transistors (HBTs) is introduced. Using McKelvey's flux method to treat carrier transport, provides a strong, physical basis for modeling the complex device physics in modern HBTs. We formulate the new model for general, double heterojunction bipolar transistors and show that the results reduce to those obtained by the conventional current balancing approach only under specific, simplifying conditions. We also extend the model to treat quasi-ballistic transport in short base HBTs.

Journal ArticleDOI
TL;DR: In this article, the authors observed electronic Knudsen and Poiseuille flow in a current heating experiment on electrostatically defined wires in (Al,Ga)As heterostructures.
Abstract: We have observed electronic Knudsen and Poiseuille flow in a current heating experiment on electrostatically defined wires in (Al,Ga)As heterostructures. Current heating induces an increase in the number of electron-electron collisions in the wire, leading first to an increase (Knudsen regime) and subsequently to a decrease (due to Poiseuille electron flow, and known as the Gurzhi effect) of the resistance of the wire.

Journal ArticleDOI
TL;DR: In this article, a new approach to simulation of a device that is subject to low-dose high-energy ion irradiation is presented with regard to different energy magnitudes, dose and temperature of subsequent annealing.
Abstract: A new approach to simulation of a device that is subject to low-dose high-energy ion irradiation is presented with regard to different energy magnitudes, dose and temperature of subsequent annealing. The procedure utilizes an ion-implantation process simulator, an expert system based on experiment, and a 1-D device simulator with an improved model of thermal generation/recombination. Measured and simulated spatial distribution of minority carrier lifetime within a GTO thyristor provided rigorous system verification. The results of proton and helium irradiation are compared from the standpoint of the ON-state spatial distribution of excess carriers in a high-power thyristor. The forward voltage drop is shown as a function of dose, energy and annealing temperature. The influence of ion irradiation on the simulated trade-off between voltage drop and reverse recovery time of a high-power diode is likewise discussed considering the soft-factor and the reverse current.

Journal ArticleDOI
TL;DR: In this paper, high mobility two-dimensional hole gases have been achieved in p-type modulation doped Ge/SiGe heterostructures grown by MBE on a relaxed graded SiGe buffer.
Abstract: High mobility two-dimensional hole gases have been achieved in p -type modulation doped Ge/SiGe heterostructures grown by MBE on a relaxed graded SiGe buffer. Hall mobilities of up to 15,500 cm 2 /Vs at carrier densities of 1.04 × 10 12 cm −2 are observed at 0.4 K in magnetotransport. The cyclotron resonance (CR) shows a narrow (FWHM 15 cm −1 ) and strong absorption of up to 15%. Quantum transitions are resolved. A splitting of the CR is observed, attributed to the lifting of the spin degeneracy of the ±3/2 states at B = 0 due to the asymmetric confinement potential. Mean CR masses of 0.14 m 0 up to 0.20 m 0 are found depending on well width and carrier density.

Journal ArticleDOI
TL;DR: In this article, the effect of coulomb scattering on the channel mobility is shown to be negligible and the mobility degradation is dominated by the phonon and surface roughness scattering modes.
Abstract: We present an accurate, yet simple, engineering mobility model for both n - and p -channel MOSFETs. It is found that for devices with bulk concentration ( N A or N D ) ⩽ 5 × 10 16 cm −3 and oxide charge density ( Q ox / q ) ⩽ 5 × 10 10 cm −2 , the effect of coulomb scattering on the channel mobility is insignificant and the mobility degradation is dominated by the phonon and surface roughness scattering modes. However, as substrate impurity concentration increases ( N A or N D ⩾ 10 17 cm −3 ) for submicron CMOS devices, the mobility degradation with the transverse electric field is affected by both the coulomb scattering and the phonon scattering in the low field region, and by the surface roughness scattering in the high field region. The effective channel mobility for several CMOS devices is determined using a modified split C − V method that takes into account the gate- and drain-bias dependence of the inversion charge. With this new measurement technique, the extracted mobility data are shown to be independent of drain voltage (| V DS | = 20–100 mV) used in the I DS − V GS measurements. Good agreement between modeled and experimental results is observed over a wide range of biases, oxide thicknesses, doping concentrations and temperatures.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the variation of the bulk oxide charge build-up characteristics of gate dielectrics after different Fowler-Nordheim stress conditions and proved that none of the degradation mechanism known so far are capable of explaining the evolution of bulk oxide degradation features after high field electrical stress.
Abstract: The variation of the bulk oxide charge build-up characteristics of gate dielectrics after different Fowler-Nordheim stress conditions are investigated. It is proved that none of the degradation mechanism known so far are capable of explaining the evolution of the bulk oxide degradation features after high field electrical stress. Instead, it is shown that the degradation process can be attributed to a universal charge build-up empirical law. Besides, a new and simple method for analyzing the so-called “turn-over” phenomenon in MOS structures is proposed. The method enables the monitoring of the whole Si band gap, at room temperature and without any assumption concerning the nature of the interface traps (donor-or acceptor-like). Finally, comparison between SiO 2 and nitridated oxides in N 2 O ambient is conducted in terms of volume/interface trapping properties.

Journal ArticleDOI
TL;DR: In this article, a model for the concentration profiles as a function of proton fluence of the various defects is suggested, and by using the charge balance equation the resistivity profiles are calculated and compared to the spreading resistance measurements.
Abstract: Spreading resistance measurements have been made on low-doped, float zone, n -type silicon irradiated with 3.2, 7.8, and 10.4 MeV protons to fluences between 1.0 × 10 10 and 5.0 × 10 12 cm −2 . The increase in resistivity is caused by trapping of charge carriers at defects introduced by the protons, thus compensating the background doping. For the 3.2 MeV irradiation, which corresponds to a mean projected range of 100 μm, it is shown that the resistivity increase is evident not only in the nuclear stopping region, but throughout the entire region penetrated by the protons even for fluences as low as 1.0 × 10 11 cm −2 . From deep level transient spectroscopy measurements a model for the concentration profiles as a function of proton fluence of the various defects is suggested. It is shown that the dominant defect is the divacancy and by using the charge balance equation the resistivity profiles are calculated and compared to the spreading resistance measurements.

Journal ArticleDOI
TL;DR: In this article, a detailed investigation on the process dependent characteristics of electronic traps in thin nitrided oxide films is presented, where the trap centroid moves to the surface for light nitridation and then moves as close as X0/L = 0.48 to the silicon/oxide interface.
Abstract: A detailed investigation on the process dependent characteristics of electronic traps in thin nitrided oxide films is presented. By monitoring the field shift in the Fowler-Nordheim (FN) plots and the flatband voltage of metal-insulator-semiconductor (MIS) structures, the trap centroid of the nitrided oxide film was found to be process dependent. Turnaround behaviour in the location of the trapped charge centroid (X0, measured from the oxide/silicon interface) and trap density were found. The centroid moves to the surface for light nitridation and then moves as close as X0/L = 0.48 (L is the thickness of the dielectric) to the silicon/oxide interface. On the other hand, the current conduction of the nitrided film is found to be enhanced remarkably by shallow traps (∼ 1.0 eV) for electric field strengths less than 8.5 MV/cm. A shallow trap-assisted electronic conduction mechanism in the nitrided oxides is proposed. For electric fields in the range of 8.5–11 MV/cm, a quasi-saturation region due to electron-trapping is observed. In the high electric field region (> 11 MV/cm), the conduction current is governed mainly by the FN mechanism. Detrapping, either by two-step tunnelling or Schottky emission, is also obvious in the high field region.

Journal ArticleDOI
TL;DR: In this article, the free carrier absorption properties of PbSrS/PbS MQW laser were discussed in terms of the free-carrier absorption, and it was shown that the MQw structure is useful reducing the threshold current and increasing the operation temperature of the IV-VI narrow gap semiconductor lasers.
Abstract: Laser operation conditions of the PbSrS double heterostructure (DH) and multiple quantum well (MQW) lasers are discussed in terms of the free carrier absorption. It is shown that the MQW structure is useful reducing the threshold current and increasing the operation temperature of the IV–VI narrow gap semiconductor lasers. PbSrS/PbS MQW laser was prepared by hot wall epitaxy, and the operation properties were compared with those of the PbSrS/PbS and PbSrS/PbSrS DH lasers. The MQW laser showed relatively low threshold currents and the laser operated up to 255 K (2.80 μm) in pulsed operation, which is the highest ever reported for PbS based lasers.

Journal ArticleDOI
TL;DR: In this article, the influence of the scattering processes on the mobility behavior in Si MOSFETs operated from room to liquid helium temperatures is studied, and the role of the Coulomb and surface roughness mechanisms owing to Fowler-Nordheim stress and bulk bias effects is underlined.
Abstract: The influence of the scattering processes on the mobility behavior in Si MOSFETs operated from room to liquid helium temperatures is studied. In particular, the role of the Coulomb and surface roughness mechanisms owing to Fowler-Nordheim stress and bulk bias effects is underlined. This enables us to propose reliable mobility models applicable in the room, liquid nitrogen and liquid helium temperature ranges and to enlighten the physical parameters which are hidden behind the analytical models. This modeling is very useful for the simulation of the MOSFET electrical properties under room and cryogenic operations.

Journal ArticleDOI
TL;DR: In this paper, the potentials and limitations of hot-hole injection in MOSFETs have been explored and the minority carrier flow and the corresponding potential drop in the channel during operation has been analytically modeled.
Abstract: To explore its potentials and limitations as a technique for the controlled injection of holes in the study of degradation phenomena in MOSFETs, the substrate hot-hole injection technique has been analyzed in detail. The minority carrier flow and the corresponding potential drop in the channel during operation has been analytically modeled. The nature of the measured gate current has been examined. The gate current is, at moderate oxide fields and trapped charge levels, uniquely consisting of holes injected uniformly from the silicon substrate into the gate oxide, while under very high oxide field and/or trapping conditions, simultaneous injection of electrons from the gate may occur. The hot-hole injection probability at 295 K has been modeled with a “lucky-hole” model, that takes into account over-the-barrier injection as well as through-the-barrier tunneling. Due to backtunneling of injected holes, the effective injection probability at 77 K is smaller than at 295 K. It is concluded that under proper operating conditions, substrate hot-hole injection is a powerful and reliable technique.

Journal ArticleDOI
Friedrich Schäffler1
TL;DR: A review of the most recent developments in the field of Si/SiGe heterostructures for transistor applications is given in this paper, where pseudomorphic SiGe-base heterobipolar transistor (HBT) and modulation-doped field effect transistors (MODFET) utilizing strain-adjusting SiGe buffer layers.
Abstract: A review is given of the most recent developments in the field of strained Si/SiGe heterostructures for transistor applications. Main topics are the pseudomorphic SiGe-base heterobipolar transistor (HBT) and modulation-doped field effect transistors (MODFET) utilizing strain-adjusting SiGe buffer layers. Si/SiGe HBTs have recently demonstrated transit frequencies in excess of 100 GHz, thus improving the high frequency cut-off of the best Si bipolar junction transistors (BJT) by a factor of two. They are now on their route from mere lab-demonstrators into the production lines. Si/SiGe MODFETs are at an earlier state, having just solved one of the most stringent material problems, namely the epitaxial growth of a relaxed SiGe buffer layer with low defect densities. This allowed the realization of n -type and p -type quantum well structures with unprecedentedly high carrier mobilities. p -type MODFETs with pure Ge channels have been fabricated on Si substrates recently. These are very promising devices for complementary applications in combination with Si-channel n -type MODFETs because of the almost perfectly matched carrier mobilities. Beside basic material aspects and physical properties, d.c.-results of test devices demonstrating superior transconductances will be treated.

Journal ArticleDOI
TL;DR: In this article, a spectral analysis method for determining generation lifetime for pulsed MOS structures is presented, which transforms any convergent function into a normalized, damped exponential function, whose difference function exhibits an extremum at certain relaxation times.
Abstract: A new spectral analysis method has been presented for determining generation lifetime for pulsed MOS structures. The basic idea of this method is transforming any convergent function into a normalized, damped exponential function, whose difference function exhibits an extremum at certain relaxation times. This fact can be proved by a simple mathematical theorem. The peak position of this spectrum is directly related to the generation lifetime. The experimental results are consistent with the mathematical model.

Journal ArticleDOI
TL;DR: In this article, the Fermi-Dirac integral of the FJ (x) integral is compared with several approximations of the integral for the case j = 1 2, and the authors suggest which ones should be used to optimise both accuracy and speed of computation.
Abstract: Numerous approximations of the Fermi-Dirac integral F j (x) appear in the literature for j = 1 2 . We compare some of these approximations and suggest which ones should be used to optimise both accuracy and speed of computation in an application of device modeling.

Journal ArticleDOI
TL;DR: In this paper, the effect of (NH2)2S and P2S5/NH4/S solution treatment on the electrical characteristics of Pt/n-InP Schottky diodes has been investigated.
Abstract: The effect of (NH2)2S and P2S5/(NH4)S solution treatment on the electrical characteristics of Pt/n-InP Schottky diodes has been investigated. The barrier heights of the diodes fabricated on these sulfidation treated n-InP wafers can be enhanced and the reverse current reduced, especially in P2S5/(NH4)2S (0.02 g/ml) solution treatment. Auger electron spectroscopy analysis on the P2S5/(NH4)2S-treated wafers, indicated that surface In atoms are mainly bonded to S atoms while there is no P-S bonding. Furthermore, a thin layer of In2S3, which is believed to act as an important role for the barrier height enhancement of the diode, on the P2S5/(NH4)2S-treated wafer has been identified.