scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Advanced scanning probe lithography

01 Aug 2014-Nature Nanotechnology (Nature Publishing Group)-Vol. 9, Iss: 8, pp 577-587
TL;DR: The fundamentals of scanning probe lithography and its use in materials science and nanotechnology are reviewed, focusing on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.
Abstract: The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

Summary (2 min read)

Introduction

  • 4, 8803 Rueschlikon, Switzerland 3. School of Physics, Georgia Institute of Technology, Atlanta, GA, USA.
  • Here, the authors review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology.
  • The success of many of the above applications relies on the existence of suitable nanolithography approaches.
  • Scanning probe lithographies can be either classified by emphasizing the distinction between the general nature of the process, chemical versus physical, or by considering if SPL implies the removal or addition of material.

Challenges in nanoscale lithography

  • The workhorse of large volume CMOS fabrication, optical lithography at a wavelength of 192 nm, has reached the physical limits in terms of minimal achievable pitch of a single patterning run of about 80 nm.
  • Economic reasons dictate throughputs of more than 100 wafers/h corresponding to >10 12 µm 2 /h for high volume production techniques (Fig. 2a).
  • This mask-based highvolume lithography environment needs of accompanying techniques for flexible low- volume production, mask fabrication and prototyping of the next generation devices.
  • At high resolutions the trade-off between resolution and throughput is determined by the sustainable beam current and resist sensitivity.
  • Recent developments for ambient atmosphere have shown that some scanning probe nanolithography approaches could also be competitive in terms of resolution, throughput and versatility of the materials that can be patterned.

Thermal and Thermochemical SPL

  • Thermal scanning probe lithography (t-SPL) was first developed for data storage purposes in the early 90s 23 .
  • For sharp tips with radii on the order of 5 nm, and polymer films thicker than the lateral size of the contact, the temperature of the heater is reduced by about a factor of two at the polymer surface.
  • In t-SPL 26,27 either molecular glass resists 4 or the thermally responsive polymer polyphthalaldehyde (PPA) 28 are used as substrate, and they perform exceptionally well for topographic patterning.
  • Field effect transistors have also been demonstrated by using these nanowires.
  • An example is shown in Figure 4a depicting the final topographical pattern imaged during the closed loop writing process.

Bias-induced SPL

  • Force microscopy offers a flexible and versatile interface to control chemical processes at the nanoscale.
  • The chemical contrast between those regions has been combined to fabricate the smallest lithographically engineered electron devices 5,57-58 The electric field at the tip-surface interface can invert the polarization of a small region in a ferroelectric film.
  • This mechanism has been proposed for data storage 55,59-60 .
  • The potential of b-SPL goes beyond the field of nanolithography.
  • The method has been applied to understand new processes to decompose very stable chemical species such as carbon dioxide 39 .

Oxidation SPL

  • The generality and robustness of the underlying chemical process (anodic oxidation) has transformed Dagata’s observation into a reliable a versatile nanolithography approach for patterning and device fabrication 42 .
  • Oxidation SPL can be either performed with the tip in contact with the sample surface or in a non-contact mode.
  • Third, it drives the oxyanions to the sample interface and facilitates the oxidation process 100 .
  • The local oxide protects the underneath silicon from the etching.
  • The quantum dot is structure is generated by locally oxidizing regions in the graphene layer.

Additional SPL methods

  • The versatility of force microscopy to modify and manipulate surfaces (Fig. 1b) has generated some other approaches such as nanomachining 104 , nanoscale dispensing 105 or dip-pen nanolithography 106 .
  • Nanoscale dispensing uses hollow cantilevers integrated fluidic channels to deliver small liquid drops onto a surface 110 .
  • By depositing several different kinds of molecules on the same substrate, dp-SPL can pattern a range of desired chemistries with sub-100 nm control.
  • The tip temperature can be used to control the ink deposition 112 .
  • The advantage of this approach is twofold.

Large area patterning

  • One of the main drawbacks of SPL techniques for technological oriented applications is the limited throughput due to the serial writing process and the required interaction time scales (Fig. 2b).
  • For fully controlled parallel systems integration of actuators and sensors into the individual cantilevers is required.
  • Together with the high linear speed of t-SPL throughput values of >10 8 µm 2 /h are within reach which would open up new application fields such as nanoimprint master or optical mask fabrication.
  • Resolution down to sub-50 nm over areas of 500 m and parallel complex 3D-patterning of conjugated polymers have been demonstrated.

Outlook

  • Scanning probe lithography has experienced a quiet evolution over the last twenty years.
  • Those features, together with the wide range of materials that can be patterned, the ability to pattern in ambient conditions and the relatively few requirements to transform a conventional AFM into a nanolithography instrument explain the interest and relevance of SPL in the scientific community.
  • Some milestones towards this goal have been achieved just recently.
  • This Review provides an update of SPL methods based on either physical or chemical processes that better preserve the tip’s geometry and chemical nature.
  • The first approach involves the use of arrays of several SPL cantilevers, which can write and read in parallel.

44. Li, Y., Maynor, B.W. & Liu, J. Electrochemical AFM ‘dip-pen’ nanolithography. J.

  • Toward quantitative electrochemical measurements on the nanoscale by scanning probe microscopy: Environmental and current spreading effects.
  • Centimeter scale atomic force microscope imaging and lithography.
  • Panel b reprinted with permission from ref. 97.

Did you find this useful? Give us your feedback

Content maybe subject to copyright    Report

1
Advanced scanning probe lithography
Ricardo Garcia
1
, Armin Knoll
2
and Elisa Riedo
3
1. Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3.
28049 Madrid, Spain
2. IBM Research - Zurich, Saeumerstr. 4, 8803 Rueschlikon, Switzerland
3. School of Physics, Georgia Institute of Technology, Atlanta, GA, USA
The nanoscale control afforded by scanning probe microscopes has prompted the
development of a wide variety of scanning probe-based patterning methods. Some
of these methods have demonstrated a high degree of robustness and patterning
capabilities that are unmatched by other lithographic techniques. However, the
limited throughput of scanning probe lithography has prevented their exploitation
in technological applications. Here, we review the fundamentals of scanning probe
lithography and its use in materials science and nanotechnology. We focus on the
methods and processes that offer genuinely lithography capabilities such as those
based on thermal effects, chemical reactions and voltage-induced processes.

2
Progress in nanotechnology depends on the capability to fabricate, position, and
interconnect nanometre-scale structures. A variety of materials and systems such as
nanoparticles, nanowires, two-dimensional materials like graphene and transition metal
dichalcogenides, plasmonics materials, conjugated polymers and organic
semiconductors are finding applications in nanoelectronics, nanophotonics, organic
electronics and biomedical applications. The success of many of the above applications
relies on the existence of suitable nanolithography approaches. However, patterning
materials with nanoscale features aimed at improving integration and device
performance poses several challenges. The limitations of conventional lithography
techniques related to resolution, operational costs and lack of flexibility to pattern
organic and novel materials have motivated the development of unconventional
fabrication methods
1-3
.
Since the first patterning experiments performed with a scanning probe
microscope in the late 80s, scanning probe lithography (SPL) has emerged as an
alternative lithography for academic research that combines nanoscale feature-size,
relatively low technological requirements and the ability to handle soft matter from
small organic molecules to proteins and polymers. Scanning probe lithography
experiments have provided striking examples of its capabilities such as the ability to
pattern 3D structures with nanoscale features
4
, the fabrication of the smallest field-effect
transistor
5
or the patterning of proteins with 10 nm feature size
6
.
Figure 1a shows a general scheme of SPL operation. There is a variety of
approaches to modify a material in a probe-surface interface which have generated
several SPL methods. Scanning probe lithographies can be either classified by
emphasizing the distinction between the general nature of the process, chemical versus
physical, or by considering if SPL implies the removal or addition of material.
However, we consider it is more inclusive and systematic to classify the different SPL
methods in terms of the driving mechanisms used in the patterning process, namely
thermal, electrical, mechanical and diffusive methods (Fig. 1b).
Challenges in nanoscale lithography
The workhorse of large volume CMOS fabrication, optical lithography at a
wavelength of 192 nm, has reached the physical limits in terms of minimal achievable
pitch of a single patterning run of about 80 nm. To make denser integrated circuits and
additionally to keep Moores law fulfilled for feature sizes approaching the single digit
nm range, multi-patterning extensions such as double and triple-patterning have been
introduced with the acceptance of the inherently higher manufacturing costs.
Alternatively, the technically challenging switch to shorter wavelengths in extreme UV
(EUV) at 12.5 nm is considered a viable although extremely costly possibility for the
next years
7
.
Economic reasons dictate throughputs of more than 100 wafers/h corresponding
to >10
12
µm
2
/h for high volume production techniques (Fig. 2a). This mask-based high-
volume lithography environment needs of accompanying techniques for flexible low-

3
volume production, mask fabrication and prototyping of the next generation devices.
These applications require flexible tools without the overhead to produce masks for
each patterning step, so called mask-less lithography technologies. Their throughput
scales phenemenologically with the achievable resolution according to a power law as it
has been first recognized by Tennant
8
. Among the mask-less methods the dominating
technique is electron beam lithography (EBL), using a Gaussian electron beam (GEB)
or variable shaped beams (VSB) for sub-20 nm resolution or high throughput demands,
respectively. At high resolutions the trade-off between resolution and throughput is
determined by the sustainable beam current and resist sensitivity. Both higher currents
and enhanced sensitivity through chemical amplification (chemically amplified resists,
CAR) lead to a reduction in resolution, limiting the throughput at high resolutions
(GEB). Even higher resolutions in the single digit nm range can be obtained by using
inorganic resists or electron beam induced deposition (EBID)
9
, albeit at very limited
throughputs of about 1 µm
2
/h and high costs. Currently massively parallel approaches
are under study with the goal to scale EBL towards high volume production
10,11
. At the
same time alternative nano-patterning methods have been explored. Novel beam based
methods using He
12
and Ne
13
ions instead of electrons promise high resolution and
enhanced resist sensitivity.
In parallel to the developments of beam-based methods, scanning probe
lithography (SPL) methods are receiving renewed interest because of their flexibility to
handle novel materials and their inherent inspection and positioning capabilities. Since
their invention, scanning probe microscopes have been used to image, modify and
manipulate surfaces at the nanometer and atomic scales. Atomic scale manipulations
have been performed in ultra-high vacuum although the exceedingly small throughput
values greatly limit their impact and applications. Recent developments for ambient
atmosphere have shown that some scanning probe nanolithography approaches could
also be competitive in terms of resolution, throughput and versatility of the materials
that can be patterned. This makes SPL an appealing nanolithography for research and
some niche technological applications. For example, thermal SPL has achieved a
resolution of 10 nm while the throughput is in the 10
4
-10
5
µm
2
/h range.
The role of Scanning Probe Lithography
Scanning probe lithography includes several approaches to pattern materials
with nanoscale resolution (Fig. 1b). These approaches have a common thread, which is
the use of a scanning sharp probe to produce local modifications on a surface. The
variety of SPL approaches arises from two main factors. 1) The wealth of processes that
could be controlled by using a sharp probe in contact or near contact with a nanoscale
region of a sample surface. The processes involved in SPL imply mechanical, thermal,
electrostatic and chemical interactions, or different combinations among them. 2) The
various methods to control the position of the scanning probe relative to the underneath
surface, for example through quantum tunnelling between the probe and a conductive
surface as in the scanning tunnelling microscope (STM), or by controlling the force

4
between the probe and the surface as in the standard atomic force microscope (AFM). In
fact, most of the current SPL methods rely on the use of an AFM.
The potential and variety of the methods available to scanning probe microscopy
(SPM) to locally modify surfaces was already evident in the early experiments.
However, many of those approaches albeit inspiring by their atomic scale manipulation
capabilities have been proved unpractical for any large scale patterning or device
applications. In this Review the focus is on the SPL methods that are robust and flexible
enough to make patterns and/or devices with a high degree of reproducibility and show
a potential for scalability and compatibility with ambient conditions and novel
materials. These methods are collectively called advanced scanning probe lithography.
Compared to other techniques such as EBL, the principal advantage of SPL is
that it is a single step process with sub-10 nm resolution. Most of the SPL writing
processes are ‘direct write’ in nature, creating structures on the fly without the need of a
resist or a subsequent development step. This is in particular relevant for patterning
novel types of functional materials such as graphene or other 2D materials, which are
known for being sensitive to resist residuals
14
. Most SPL methods operate under
(controlled) atmospheric conditions, which reduces the tool overhead and costs. It also
facilitates its applications. The constituents of the atmosphere may even provide the
functionality for some SPL methods such as bias SPL or oxidation SPL. The simplicity
of the techniques also allows for straightforward parallelization schemes. Furthermore,
the scanning probe microscope is capable of detecting surface features down to atomic
resolution. In contrast to beam-based methods, imaging and patterning in SPL are
orthogonal, i.e., the imaging process does neither influence the written structures nor
implies a partial writing operation. Together, the non-destructive imaging capability and
the direct writing enables to establish the concept of so called ‘closed loop lithography’,
i.e., a lithography tool with inherent feedback of the writing result to optimize the
writing stimuli on the fly. This tool is thus capable to autonomously control the writing
process, improving dramatically the ease of use to create complex and high resolution
nanoscale structures.
In general, the ability of SPL to image the surface of a material and in-situ
fabricate complex patterns with sub-10 nm precision in size and single nm accuracy in
positioning, as well as post-patterning in-situ metrology is rather unique. Finally, SPL is
compatible with patterning a large variety of materials, including polymers and
biological matter. Applications of SPL to pattern silicon
15
, graphene
16
,
piezoelectric/ferroelectric ceramics
17
, polymers
4,18-21
, proteins
6
have been demonstrated.
Very appealing is also the capability to use the same SPL setup to pattern different
materials at the same time
22
.
Thermal and Thermochemical SPL
Thermal scanning probe lithography (t-SPL) was first developed for data storage
purposes in the early 90s
23
. In that work it was understood that the transport of heat is
only significant if the tip and the sample are in intimate contact. Thus, the heat is highly

5
localized at the tip-sample contact area, which is of the order of a few nm
2
due to the
nanoscale dimensions of the SPM tip. Furthermore, similar to the case of light,
manipulation by heat does not require the presence of conductive surfaces and thus is
widely applicable.
Heat is used in thermal and thermochemical SPL to modify mechanically or
chemically a material. In the early experiments, laser heating with pulse times of
microseconds and linear scan speeds of 25 mm/s demonstrated the high speed potential
of thermo-mechanical writing schemes. Today, heaters integrated into silicon SPM
cantilevers are used (Fig. 3a). The integration improves the resolution and facilitates the
control of the writing parameters. The tip is resistively heated by a current flowing in
the cantilever legs, which are highly doped except for the region where the tip is
positioned. In silicon the maximum sustainable temperature at the heater position is
limited by electro-migration of the dopants to 800-1000°C, depending on the type of
dopant. Typical thermal time constants of the integrated heaters range from 5 to >100
µs
24
, allowing for fast switching of the thermal stimulus. The effective temperature at
the substrate surface depends on the ratio of thermal resistance of the substrate and of
the combined resistance of the tip and tip-sample interface
24
. For sharp tips with radii on
the order of 5 nm, and polymer films thicker than the lateral size of the contact, the
temperature of the heater is reduced by about a factor of two at the polymer surface.
Thus, highly temperature sensitive materials are required at high resolution. We also
note that, in ambient conditions, the thermal heater may also act as a height sensor and
imaging can be achieved by using only electrical control without the need for an optical-
lever setup.
Figure 3 summarizes some recent achievements in thermal scanning probe
lithography. In all cases presented in this figure the highly localized heat stimulus is
used to trigger a nanoscale reaction, which consists of excitation or cleavage of physical
or chemical bonds, as well as more complex reactions such as crystallization processes.
We distinguish the thermal patterning methods according to the characteristics of the
created patterns. If the thermal process results in efficient removal of material for the
purpose of generating a topographical pattern, the method is termed thermal SPL (t-
SPL). If the process is purely thermochemical in nature
25
and the resulting patterns are
made of a material with structure and chemistry different from the original one, we term
the method thermochemical SPL (tc-SPL), also known as thermochemical
nanolithography (TCNL). In t-SPL
26,27
either molecular glass resists
4
or the thermally
responsive polymer polyphthalaldehyde (PPA)
28
are used as substrate, and they perform
exceptionally well for topographic patterning. In PPA the fission of a single bond is
amplified by spontaneous decomposition of the remaining polymer chains resulting in a
highly efficient patterning process. The patterns shown in Fig. 3b contain 880 x 880
pixels and were written in less than 12 s, demonstrating the high throughput of the
approach.
29
Throughputs are in the range of 5 x 10
4
µm
2
/h ( Fig. 2b). Patterning at a half
pitch down to 10 nm without proximity corrections was demonstrated
27
. Other
milestones towards technical readiness of the technique are the stitching of patterning

Citations
More filters
Journal ArticleDOI
TL;DR: This Review covers the major advances with the most general applicability and emphasizes new insights into the development of efficient platform methodologies for building reliable molecular electronic devices with desired functionalities through the combination of programmed bottom-up self-assembly and sophisticated top-down device fabrication.
Abstract: Creating functional electrical circuits using individual or ensemble molecules, often termed as “molecular-scale electronics”, not only meets the increasing technical demands of the miniaturization of traditional Si-based electronic devices, but also provides an ideal window of exploring the intrinsic properties of materials at the molecular level. This Review covers the major advances with the most general applicability and emphasizes new insights into the development of efficient platform methodologies for building reliable molecular electronic devices with desired functionalities through the combination of programmed bottom-up self-assembly and sophisticated top-down device fabrication. First, we summarize a number of different approaches of forming molecular-scale junctions and discuss various experimental techniques for examining these nanoscale circuits in details. We then give a full introduction of characterization techniques and theoretical simulations for molecular electronics. Third, we highlig...

949 citations

Journal ArticleDOI
01 Apr 2017
TL;DR: Metasurfaces have become a rapidly growing field of research in recent years due to their exceptional abilities in light manipulation and versatility in ultrathin optical applications and are promising for integration with on-chip nanophotonic devices owing to their planar profiles.
Abstract: Metasurfaces have become a rapidly growing field of research in recent years due to their exceptional abilities in light manipulation and versatility in ultrathin optical applications. They also significantly benefit from their simplified fabrication process compared to metamaterials and are promising for integration with on-chip nanophotonic devices owing to their planar profiles. The recent progress in metasurfaces is reviewed and they are classified into six categories according to their underlying physics for realizing full 2π phase manipulation. Starting from multi-resonance and gap-plasmon metasurfaces that rely on the geometric effect of plasmonic nanoantennas, Pancharatnam–Berry-phase metasurfaces, on the other hand, use identical nanoantennas with varying rotation angles. The recent development of Huygens' metasurfaces and all-dielectric metasurfaces especially benefit from highly efficient transmission applications. An overview of state-of-the-art fabrication technologies is introduced, ranging from the commonly used processes such as electron beam and focused-ion-beam lithography to some emerging techniques, such as self-assembly and nanoimprint lithography. A variety of functional materials incorporated to reconfigurable or tunable metasurfaces is also presented. Finally, a few of the current intriguing metasurface-based applications are discussed, and opinions on future prospects are provided.

496 citations

Journal ArticleDOI
TL;DR: This manuscript describes the most recommendable methodologies for the fabrication, characterization, and simulation of RS devices, as well as the proper methods to display the data obtained.
Abstract: Resistive switching (RS) is an interesting property shown by some materials systems that, especially during the last decade, has gained a lot of interest for the fabrication of electronic devices, with electronic nonvolatile memories being those that have received the most attention. The presence and quality of the RS phenomenon in a materials system can be studied using different prototype cells, performing different experiments, displaying different figures of merit, and developing different computational analyses. Therefore, the real usefulness and impact of the findings presented in each study for the RS technology will be also different. This manuscript describes the most recommendable methodologies for the fabrication, characterization, and simulation of RS devices, as well as the proper methods to display the data obtained. The idea is to help the scientific community to evaluate the real usefulness and impact of an RS study for the development of RS technology. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

441 citations

Journal ArticleDOI
TL;DR: The effective maturation of the on-surface synthesis field is demonstrated by reporting systems that are getting closer to application-relevant levels thanks to the use of advanced control strategies.
Abstract: On-surface synthesis is appearing as an extremely promising research field aimed at creating new organic materials. A large number of chemical reactions have been successfully demonstrated to take place directly on surfaces through unusual reaction mechanisms. In some cases the reaction conditions can be properly tuned to steer the formation of the reaction products. It is thus possible to control the initiation step of the reaction and its degree of advancement (the kinetics, the reaction yield); the nature of the reaction products (selectivity control, particularly in the case of competing processes); as well as the structure, position, and orientation of the covalent compounds, or the quality of the as-formed networks in terms of order and extension. The aim of our review is thus to provide an extensive description of all tools and strategies reported to date and to put them into perspective. We specifically define the different approaches available and group them into a few general categories. In the last part, we demonstrate the effective maturation of the on-surface synthesis field by reporting systems that are getting closer to application-relevant levels thanks to the use of advanced control strategies.

382 citations

Journal ArticleDOI
TL;DR: New opportunities in materials design enabled by the availability of big data in imaging and data analytics approaches, including their limitations, in material systems of practical interest are discussed.
Abstract: Harnessing big data, deep data, and smart data from state-of-the-art imaging might accelerate the design and realization of advanced functional materials. Here we discuss new opportunities in materials design enabled by the availability of big data in imaging and data analytics approaches, including their limitations, in material systems of practical interest. We specifically focus on how these tools might help realize new discoveries in a timely manner. Such methodologies are particularly appropriate to explore in light of continued improvements in atomistic imaging, modelling and data analytics methods.

295 citations

References
More filters
Journal ArticleDOI
D. M. Eigler1, E. K. Schweizer1
01 Apr 1990-Nature
TL;DR: In this paper, Binnig and Rohrer used the scanning tunnelling microscope (STM) to position individual xenon atoms on a single-crystal nickel surface with atomic pre-cision.
Abstract: SINCE its invention in the early 1980s by Binnig and Rohrer1,2, the scanning tunnelling microscope (STM) has provided images of surfaces and adsorbed atoms and molecules with unprecedented resolution The STM has also been used to modify surfaces, for example by locally pinning molecules to a surface3 and by transfer of an atom from the STM tip to the surface4 Here we report the use of the STM at low temperatures (4 K) to position individual xenon atoms on a single-crystal nickel surface with atomic pre-cision This capacity has allowed us to fabricate rudimentary structures of our own design, atom by atom The processes we describe are in principle applicable to molecules also In view of the device-like characteristics reported for single atoms on surfaces5,6, the possibilities for perhaps the ultimate in device miniaturization are evident

2,765 citations

Journal ArticleDOI
TL;DR: A systematic study of PMMA decomposition on graphene and of its impact on graphene's intrinsic properties using transmission electron microscopy (TEM) in combination with Raman spectroscopy is reported.
Abstract: Surface contamination by polymer residues has long been a critical problem in probing graphene’s intrinsic properties and in using graphene for unique applications in surface chemistry, biotechnology, and ultrahigh speed electronics. Poly(methyl methacrylate) (PMMA) is a macromolecule commonly used for graphene transfer and device processing, leaving a thin layer of residue to be empirically cleaned by annealing. Here we report on a systematic study of PMMA decomposition on graphene and of its impact on graphene’s intrinsic properties using transmission electron microscopy (TEM) in combination with Raman spectroscopy. TEM images revealed that the physisorbed PMMA proceeds in two steps of weight loss in annealing and cannot be removed entirely at a graphene susceptible temperature before breaking. Raman analysis shows a remarkable blue-shift of the 2D mode after annealing, implying an anneal-induced band structure modulation in graphene with defects. Calculations using density functional theory show that l...

868 citations

Journal ArticleDOI
TL;DR: DPN is introduced and the rapid growth of the field of DPN-enabled research and applications over the past several years is reviewed, which makes it a powerful tool for depositing soft and hard materials, in the form of stable and functional architectures, on a variety of surfaces.
Abstract: The ability to tailor the chemical composition and structure of a surface at the sub-100-nm length scale is important for studying topics ranging from molecular electronics to materials assembly, and for investigating biological recognition at the single biomolecule level. Dip-pen nanolithography (DPN) is a scanning probe microscopy-based nanofabrication technique that uniquely combines direct-write soft-matter compatibility with the high resolution and registry of atomic force microscopy (AFM), which makes it a powerful tool for depositing soft and hard materials, in the form of stable and functional architectures, on a variety of surfaces. The technology is accessible to any researcher who can operate an AFM instrument and is now used by more than 200 laboratories throughout the world. This article introduces DPN and reviews the rapid growth of the field of DPN-enabled research and applications over the past several years.

865 citations

Journal ArticleDOI
TL;DR: This work presents atomic-scale images and electronic characteristics of these atomically precise devices and the impact of strong vertical and lateral confinement on electron transport and discusses the opportunities ahead for atomic- scale quantum computing architectures.
Abstract: The ability to control matter at the atomic scale and build devices with atomic precision is central to nanotechnology. The scanning tunnelling microscope can manipulate individual atoms and molecules on surfaces, but the manipulation of silicon to make atomic-scale logic circuits has been hampered by the covalent nature of its bonds. Resist-based strategies have allowed the formation of atomic-scale structures on silicon surfaces, but the fabrication of working devices-such as transistors with extremely short gate lengths, spin-based quantum computers and solitary dopant optoelectronic devices-requires the ability to position individual atoms in a silicon crystal with atomic precision. Here, we use a combination of scanning tunnelling microscopy and hydrogen-resist lithography to demonstrate a single-atom transistor in which an individual phosphorus dopant atom has been deterministically placed within an epitaxial silicon device architecture with a spatial accuracy of one lattice site. The transistor operates at liquid helium temperatures, and millikelvin electron transport measurements confirm the presence of discrete quantum levels in the energy spectrum of the phosphorus atom. We find a charging energy that is close to the bulk value, previously only observed by optical spectroscopy.

821 citations

Journal ArticleDOI
TL;DR: In this paper, the chemical modification of hydrogen-passivated n-Si surfaces by a scanning tunneling microscope (STM) operating in air is reported, and the modified surface regions have been characterized by STM spectroscopy, scanning electron microscopy (SEM), time-of-flight secondary ion mass spectrometry (TOF SIMS), and chemical etch/Nomarski microscopy.
Abstract: The chemical modification of hydrogen‐passivated n‐Si (111) surfaces by a scanning tunneling microscope (STM) operating in air is reported. The modified surface regions have been characterized by STM spectroscopy, scanning electron microscopy (SEM), time‐of‐flight secondary‐ion mass spectrometry (TOF SIMS), and chemical etch/Nomarski microscopy. Comparison of STM images with SEM, TOF SIMS, and optical information indicates that the STM contrast mechanism of these features arises entirely from electronic structure effects rather than from topographical differences between the modified and unmodified substrate. No surface modification was observed in a nitrogen ambient. Direct writing of features with 100 nm resolution was demonstrated. The permanence of these features was verified by SEM imaging after three months storage in air. The results suggest that field‐enhanced oxidation/diffusion occurs at the tip‐substrate interface in the presence of oxygen.

723 citations

Frequently Asked Questions (12)
Q1. What are the major challenges towards a highly parallel system?

The major challenges towards a highly parallel system are engineering tasks for the reliable fabrication of cantilever arrays and for a solution of the wiring problem. 

One remarkable application of nanoscale dispensing has been the stimulation of single living cells under physiological conditions 105 . 

Mechanical SPL (nanomachining) uses the mechanical force exerted by the tip to induce the selective removal of material from a surface. 

By depositing several different kinds of molecules on the same substrate, dp-SPL can pattern a range of desired chemistries with sub-100 nm control. 

By exploiting the ‘closed loop lithography‘ scheme mentioned above, the absolute patterning depth in a PPA polymer film can be controlled to about single nanometer precision, less than the linear dimension of a single resist molecule. 

A typical single-cantilever AFM employs an optical-lever deflection schemewhich cannot be easily scaled up to large cantilever arrays due to the complexity in the optical setup, signal processing, and restrictions on cantilever geometries 118 . 

Dip-pen scanning probe lithography (dp-SPL) offers high resolution andregistration with direct write patterning capabilities 106 . 

Both higher currents and enhanced sensitivity through chemical amplification (chemically amplified resists, CAR) lead to a reduction in resolution, limiting the throughput at high resolutions (GEB). 

In the early experiments, laser heating with pulse times of microseconds and linear scan speeds of 25 mm/s demonstrated the high speed potential of thermo-mechanical writing schemes. 

the heat is highlylocalized at the tip-sample contact area, which is of the order of a few nm 2 due to the nanoscale dimensions of the SPM tip. 

Other milestones towards technical readiness of the technique are the stitching of patterningfields at < 10 nm precision 30 and a high-quality pattern transfer into the underlying silicon substrate at high resolution and low line edge roughness (Fig. 3c). 

The fabrication of a silicon nanowire transistor process involves the patterning of a narrow oxide mask on top of the active layer of a silicon-on-insulator substrate 15, 61,102 (Fig. 6a).