scispace - formally typeset
Search or ask a question
Proceedings ArticleDOI

An accurate detailed routing routability prediction model in placement

Quan Zhou1, Xueyan Wang1, Zhongdong Qi1, Zhuwei Chen1, Qiang Zhou1, Yici Cai1 
24 Sep 2015-pp 119-122
TL;DR: Using a well-trained model, most design rule violations after detailed routing can be foreseen in placement stage and the average prediction accuracy is comparable with other state-of-art routability estimation techniques.
Abstract: Routability is one of the primary objectives in placement. There have been many researches on forecasting routing problems and improving routability in placement but no perfect solution is found. Most traditional routability-driven placers aim to improve global routing result, but true routability lies in detailed routing. Predicting detailed routing routability in placement is extremely difficult due to the complexity and uncertainty of routing. In this paper, we propose a new detailed routing routability prediction model based on supervised learning. After extracting key features in placement and detailed routing, multivariate adaptive regression is performed to train the connection between these two stages. Using a well-trained model, most design rule violations after detailed routing can be foreseen in placement stage. Experiments show that our average prediction accuracy is 79.8%, which is comparable with other state-of-art routability estimation techniques.
Citations
More filters
Proceedings ArticleDOI
05 Nov 2018
TL;DR: The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots, and significantly outperforms other machine learning approaches such as support vector machine and logistic regression.
Abstract: Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots. In both cases, large macros in mixed-size designs are taken into consideration. Experiments on benchmark circuits show that RouteNet can forecast overall routability with accuracy similar to that of global router while using substantially less runtime. For DRC hotspot prediction, RouteNet improves accuracy by 50% compared to global routing. It also significantly outperforms other machine learning approaches such as support vector machine and logistic regression.

145 citations


Cites methods from "An accurate detailed routing routab..."

  • ...In [18, 26], Multivariate Adaptive Regression Spline (MARS) is applied for...

    [...]

  • ...However, this technique does not indicate how to handle macros, which prevail in modern chip designs and considerably increase the difficulty of routability prediction [26]....

    [...]

Proceedings ArticleDOI
19 Mar 2017
TL;DR: This paper demonstrates on several layouts of a sub-14nm industrial design that this method predicts the locations of 74% of the detailed-route DRCs and automatically reduces the number of detailed- route DRC violations by up to 5x.
Abstract: Design rule check (DRC) violations after detailed routing prevent a design from being taped out. To solve this problem, state-of-the-art commercial EDA tools global-route the design to produce a global-route congestion map; this map is used by the placer to optimize the placement of the design to reduce detailed-route DRC violations. However, in sub-14nm processes and beyond, DRCs arising from multiple patterning and pin-access constraints drastically weaken the correlation between global-route congestion and detailed-route DRC violations. Hence, the placer|based on the global-route congestion map|may leave too many detailed-route DRC violations to be fixed manually by designers. In this paper, we present a method that employs (1) machine-learning techniques to effectively predict detailed-route DRC violations after global routing and (2) detailed placement techniques to effectively reduce detailed-route DRC violations. We demonstrate on several layouts of a sub-14nm industrial design that this method predicts the locations of 74% of the detailed-route DRCs (with false positive prediction rate below 0.2%) and automatically reduces the number of detailed-route DRC violations by up to 5x. Whereas previous works on machine learning for routability [30] [4] have focused on routability prediction at the floorplanning and placement stages, ours is the first paper that not only predicts the actual locations of detailed-route DRC violations but furthermore optimizes the design to significantly reduce such violations.

74 citations


Cites background or methods from "An accurate detailed routing routab..."

  • ...For example, [12] [10] [8] [15] [16] [17] [19] [14] use global routers to predict congestion and feed the information back to the placer in order to improve routability....

    [...]

  • ...In this paper, we have addressed the route completion problem for designs at advanced process nodes....

    [...]

  • ...Given the difficulty of obtaining additional real-world sub-14nm benchmarks at this time, we gener- 13The extraction and training scriptware is split across several machines to reduce turnaround time....

    [...]

Journal ArticleDOI
TL;DR: This work presents a deep reinforcement learning method for solving the global routing problem in a simulated environment and indicates that the approach can outperform the benchmark method of a sequential A* method, suggesting a promising potential forDeep reinforcement learning for global routing and other routing or path planning problems in general.
Abstract: Global routing has been a historically challenging problem in electronic circuit design, where the challenge is to connect a large and arbitrary number of circuit components with wires without violating the design rules for the printed circuit boards or integrated circuits. Similar routing problems also exist in the design of complex hydraulic systems, pipe systems and logistic networks. Existing solutions typically consist of greedy algorithms and hard-coded heuristics. As such, existing approaches suffer from a lack of model flexibility and non-optimum solutions. As an alternative approach, this work presents a deep reinforcement learning method for solving the global routing problem in a simulated environment. At the heart of the proposed method is deep reinforcement learning that enables an agent to produce an optimal policy for routing based on the variety of problems it is presented with leveraging the conjoint optimization mechanism of deep reinforcement learning. Conjoint optimization mechanism is explained and demonstrated in details; the best network structure and the parameters of the learned model are explored. Based on the fine-tuned model, routing solutions and rewards are presented and analyzed. The results indicate that the approach can outperform the benchmark method of a sequential A* method, suggesting a promising potential for deep reinforcement learning for global routing and other routing or path planning problems in general. Another major contribution of this work is the development of a global routing problem sets generator with the ability to generate parameterized global routing problem sets with different size and constraints, enabling evaluation of different routing algorithms and the generation of training datasets for future data-driven routing approaches.

60 citations


Cites methods from "An accurate detailed routing routab..."

  • ...Besides, some machine learning based techniques has been applied to solve global routing such as prediction of routing congestion models [28] or routability prediction [29] with supervised models....

    [...]

Proceedings ArticleDOI
01 Oct 2016
TL;DR: This work develops machine learning-based models that predict whether a placement solution is routable without conducting trial or early global routing, and uses these models to accurately predict iso-performance Pareto frontiers of utilization, aspect ratio and number of layers in the back-end-of-line (BEOL) stack.
Abstract: In advanced technology nodes, physical design engineers must estimate whether a standard-cell placement is routable (before invoking the router) in order to maintain acceptable design turnaround time. Modern SoC designs consume multiple compute servers, memory, tool licenses and other resources for several days to complete routing. When the design is unroutable, resources are wasted, which increases the design cost. In this work, we develop machine learning-based models that predict whether a placement solution is routable without conducting trial or early global routing. We also use our models to accurately predict iso-performance Pareto frontiers of utilization, aspect ratio and number of layers in the back-end-of-line (BEOL) stack. Furthermore, using data mining and machine learning techniques, we develop new methodologies to generate training examples given very few placements. We conduct validation experiments in three foundry technologies (28nm FDSOI, 28nm LP and 45nm GS), and demonstrate accuracy ≥ 85.9% in predicting routability of a placement. Our predictions of Pareto frontiers in the three technologies are pessimistic by at most 2% with respect to the maximum achievable utilization for a given design in a given BEOL stack.

56 citations


Cites methods from "An accurate detailed routing routab..."

  • ...The while loop exits when either all the remaining placements in P have been added to Ptr or when fm achieves e ≤ UBerror .10 Using fm, we can now interpolate or extrapolate values of the parameter....

    [...]

Proceedings ArticleDOI
24 Jun 2018
TL;DR: A machine learning framework to predict detailed routing short violations from a placed netlist is proposed and factors contributing to routing violations are determined and a supervised neural network model is implemented to detect these violations.
Abstract: Detecting and preventing routing violations has become a critical issue in physical design, especially in the early stages. Lack of correlation between global and detailed routing congestion estimations and the long runtime required to frequently consult a global router adds to the problem. In this paper, we propose a machine learning framework to predict detailed routing short violations from a placed netlist. Factors contributing to routing violations are determined and a supervised neural network model is implemented to detect these violations. Experimental results show that the proposed method is able to predict on average 90% of the shorts with only 7% false alarms and considerably reduced computational time.

44 citations


Cites background from "An accurate detailed routing routab..."

  • ...There are works that aim to detect detailed routing violations by supervised learning [3, 13, 30]....

    [...]

References
More filters
Proceedings ArticleDOI
07 Nov 2011
TL;DR: Lookahead routing is developed to give the placer advance, firsthand knowledge of trouble spots, not distorted by crude congestion models, and global placement is extended to spread cells apart in congested areas, and move cells together in less-congested areas to ensure short, routable interconnects and moderate runtime.
Abstract: Highly-optimized placements may lead to irreparable routing congestion due to inadequate models of modern interconnect stacks and the impact of partial routing obstacles. Additional challenges in routability-driven placement include scalability to large netlists and limiting the complexity of software integration. Addressing these challenges, we develop lookahead routing to give the placer advance, firsthand knowledge of trouble spots, not distorted by crude congestion models. We also extend global placement to (i) spread cells apart in congested areas, and (ii) move cells together in less-congested areas to ensure short, routable interconnects and moderate runtime. While previous work adds isolated steps to global placement, our SIMultaneous PLace-and-Route tool SimPLR integrates a layer- and via-aware global router into a leading-edge, force-directed placer. The complexity of integration is mitigated by careful design of simple yet effective optimizations. On the ISPD 2011 Contest Benchmark Suite, with the official evaluation protocol, SimPLR outperforms every contestant on every benchmark.

91 citations


Additional excerpts

  • ...Many state-of-art placers [3-5] use this method....

    [...]

Proceedings ArticleDOI
16 Apr 2007
TL;DR: This paper presents a fast and accurate routing demand estimation called RUDY and its efficient integration in a force-directed quadratic placer to optimize placements for routability, which results in the best published routed wirelength of the IBMv2 benchmark suite until now.
Abstract: This paper presents a fast and accurate routing demand estimation called RUDY and its efficient integration in a force-directed quadratic placer to optimize placements for routability. RUDY is based on a rectangular uniform wire density per net and accurately models the routing demand of a circuit as determined by the wire distribution after final routing. Unlike published routing demand estimation, RUDY depends neither on a bin structure nor on a certain routing model to estimate the behavior of a router. Therefore RUDY is independent of the router. Our fast and robust force-directed quadratic placer is based on a generic demand-and-supply model and is guided by the routing demand estimation RUDY to optimize placements for routability. This yields a placer which simultaneously reduces the routing demand in congested regions and increases the routing supply there. Therefore our placer fully utilizes the potential to optimize the routability. This results in the best published routed wirelength of the IBMv2 benchmark suite until now. In detail, our approach outperforms mPL, ROOSTER, and APlace by 9%, 8%, and 5%, respectively. Compared by the CPU times, which ROOSTER needs to place this benchmark, our routability optimization placer is eight times faster

90 citations

Proceedings ArticleDOI
04 Jun 2007
TL;DR: This paper proposes to address the inconsistency between the placement and routing objectives by fully integrating global routing into placement and calls the proposed algorithm for routing congestion minimization IPR (integrated placed and routing).
Abstract: In nanometer-scale VLSI technologies, several interconnect issues like routing congestion and interconnect delay have become the main concerns in placement. However, all previous placement approaches optimize some very primitive interconnect models during placement. These models are far from the actual interconnect implementation in the routing stage. As a result, placement solution considered to be good by primitive interconnect models may turn out to be poor after routing. In addition, the placement may not even be routable and timing closure may not be achievable.In this paper, we propose to address the inconsistency between the placement and routing objectives by fully integrating global routing into placement. As a first attempt to this novel approach, we focus on routability issue. We call the proposed algorithm for routing congestion minimization IPR (Integrated Placement and Routing). To ensure the algorithm to be computationally efficient, efficient placement and routing algorithms FastPlace, FastDP and FastRoute are integrated, and well-designed methods are proposed to integrate them efficiently and effectively. Experimental results show that IPR reduces overflow by 36%, global routing wirelength by 3.6%, and runtime by 36% comparing to ROOSTER [5], which is the previous best academic routability-driven placer.

84 citations


Additional excerpts

  • ...Many state-of-art placers [3-5] use this method....

    [...]

Proceedings ArticleDOI
03 Jun 2012
TL;DR: It is empirically demonstrates that incorporating the proposed solutions within a global routing based congestion analyzer yields a more accurate view of design routability.
Abstract: Industry routers are very complex and time consuming, and are becoming more so with the explosion in design rules and design for manufacturability requirements that multiply with each technology node. Global routing is just the first phase of a router and serves the dual purpose of (i) seeding the following phases of a router and (ii) evaluating whether the current design point is routable. Lately, it has become common to use a "light mode" version of the global router, similar to today's academic routers, to quickly evaluate the routability of a given placement. This use model suffers from two primary weaknesses: (i) it does not adequately model the local routing resources, while the model is important to remove opens and shorts and eliminate DRC violations, (ii) the metrics used to represent congestion are non-intuitive and often fail to pinpoint the key issues that need to be addressed. This paper presents solutions to both issues, and empirically demonstrates that incorporating the proposed solutions within a global routing based congestion analyzer yields a more accurate view of design routability.

82 citations


"An accurate detailed routing routab..." refers background in this paper

  • ...To fill this gap, [8] combines global routing result with pin density and local wirelength....

    [...]

  • ...However, recent researches [6-10] indicate that there is a gap between global routing and detailed routing....

    [...]

Proceedings ArticleDOI
Taraneh Taghavi1, Charles J. Alpert1, Andrew D. Huber1, Zhuo Li1, Gi-Joon Nam1, Shyam Ramji1 
07 Nov 2010
TL;DR: This work models routing congestion at the placement level in order to apply local congestion mitigation and proposes a local congestion metric that computes a “routing-difficulty” score for every cell in the design library.
Abstract: Local routing congestion is becoming increasingly important as complex design rules make local pin access the bottle-neck for modern designs and routers. Since congestion analysis based on global routing does not model these effects, routability-driven placement and physical synthesis fail to alleviate local congestion. This work models routing congestion at the placement level in order to apply local congestion mitigation. We propose a local congestion metric that computes a "routing-difficulty" score for every cell in the design library. To disperse local congestion, we apply a suite of detailed placement techniques called MILOR (Movement, cell Inflation and Legalization, and Optimization within a Row). Experimental results show that our techniques can significantly improve routing quality on real industry designs from 65, 45, and 32 nanometer technologies.

74 citations


"An accurate detailed routing routab..." refers background in this paper

  • ...However, recent researches [6-10] indicate that there is a gap between global routing and detailed routing....

    [...]