scispace - formally typeset
Open AccessJournal ArticleDOI

Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip

Reads0
Chats0
TLDR
A semi-automated design flow for 3-D NoCs including a defect-tolerance scheme to increase the global yield of3-D stacked chips and an adopted fault tolerance scheme for TSV-based multi-bit links.
Abstract
Through silicon vias (TSVs) provide an efficient way to support vertical communication among different layers of a vertically stacked chip, enabling scalable 3-D networks-on-chip (NoC) architectures. Unfortunately, low TSV yields significantly impact the feasibility of high-bandwidth vertical connectivity. In this paper, we present a semi-automated design flow for 3-D NoCs including a defect-tolerance scheme to increase the global yield of 3-D stacked chips. Starting from an accurate physical and geometrical model of TSVs: 1) we extract a circuit-level model for vertical interconnections; 2) we use it to evaluate the design implications of extending switch architectures with ports in the vertical direction; moreover, 3) we present a defect-tolerance technique for TSV-based multi-bit links through an effective use of redundancy; and finally, 4) we present a design flow allowing for post-layout simulation of NoCs with links in all three physical dimensions. Experimental results show that a 3-D NoC implementation yields around 10% frequency improvement over a 2-D one, thanks to the propagation delay advantage of TSVs and the shorter links. In addition, the adopted fault tolerance scheme demonstrates a significant yield improvement, ranging from 66% to 98%, with a low area cost (20.9% on a vertical link in a NoC switch, which leads a modest 2.1% increase in the total switch area) in 130 nm technology, with minimal impact on very large-scale integrated design and test flows.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

Application Mapping Onto Mesh-Based Network-on-Chip Using Discrete Particle Swarm Optimization

TL;DR: This paper presents a discrete particle swarm optimization (PSO)-based strategy to map applications on both 2-D and 3-D mesh-connected Networks-on-Chip, and its results are superior to those from reported techniques.
Journal ArticleDOI

Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip

TL;DR: A machine-learning-inspired predictive design methodology for energy-efficient and reliable many-core architectures enabled by 3-D integration and a computationally efficient spare-vertical link (sVL) allocation algorithm based on a state-space search formulation are proposed.
Journal ArticleDOI

Graceful deadlock-free fault-tolerant routing algorithm for 3D Network-on-Chip architectures

TL;DR: An efficient fault-tolerant routing algorithm, called Hybrid-Look-Ahead-Fault-Tolerant (HLAFT), which takes advantage of both local and look-ahead routing to boost the performance of 3D-NoC systems while ensuring fault-Tolerance.
Journal ArticleDOI

On the Efficacy of Through-Silicon-Via Inductors

TL;DR: This is the very first in-depth study on TSV inductors to make them practical for high-frequency applications and proposes a novel shield mechanism utilizing the microchannel, a technique conventionally used for heat removal, to reduce the substrate loss.
References
More filters
Journal ArticleDOI

Networks on chips: a new SoC paradigm

TL;DR: Focusing on using probabilistic metrics such as average values or variance to quantify design objectives such as performance and power will lead to a major change in SoC design methodologies.
Proceedings ArticleDOI

Route packets, not wires: on-chip interconnection networks

TL;DR: This paper introduces the concept of on-chip networks, sketches a simple network, and discusses some challenges in the architecture and design of these networks.
Journal ArticleDOI

Three-dimensional integrated circuits

TL;DR: The process steps and design aspects that were developed at IBM to enable the formation of stacked device layers are reviewed, including the descriptions of a glass substrate process to enable through-wafer alignment and a single-damascene patterning and metallization method for the creation of high-aspect-ratio capability.
Journal ArticleDOI

Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs

R.S. Patti
TL;DR: Three-dimensional integrated circuits offer significant improvements over two-dimensional circuits, and promise a solution to the severe problems that are being, and will be, encountered as monolithic process geometries are reduced to below 65 nm.
Related Papers (5)