scispace - formally typeset
Search or ask a question
Proceedings Article•DOI•

Composable thermal modeling and characterization for fast temperature estimation

22 Nov 2010-pp 185-188
TL;DR: In this article, a new thermal compact modeling technique for fast thermal analysis in the context of multi-core microprocessors design is proposed, which builds the models from detailed thermal structures for each core using finite difference method and reduces the model complexity by sampling-based model order reduction and circuit realization techniques.
Abstract: Efficient temperature estimation is critical for designing thermal efficient, low power and robust integrated circuits in nanometer regime. Thermal simulation starts from the detailed thermal structures by solving thermal diffusion equations no longer meets demanding tasks for efficient design space exploration. Compact and composable model-based simulation provides a viable solution to this difficult problem. However, building such thermal models from detailed thermal structures was not well addressed in the past. In this paper, we propose a new thermal compact modeling techniques for fast thermal analysis in the context of multi-core microprocessors design. The new approach builds the models from detailed structures for each core using finite difference method and reduces the model complexity by sampling-based model order reduction and circuit realization techniques. To improve the reduction efficiency, number of ports of thermal models are first reduced by port merging, which actually leads to coarse grids at the boundaries. The resulting thermal circuits can be simulated by general circuit simulator SPICE. Experimental results on a quad-core microprocessor architecture show that the new approach can easily build accurate thermal systems from the composite compact models. The new thermal systems lead to order of magnitude speedup over standard finite difference models in transient thermal simulation.
Citations
More filters
Proceedings Article•DOI•
18 Mar 2012
TL;DR: A methodology for post-silicon thermal prediction to predict the transient thermal field a multicore package for various workload considering chip-to-chip variations in electrical and thermal properties is presented.
Abstract: This paper presents a methodology for post-silicon thermal prediction to predict the transient thermal field a multicore package for various workload considering chip-to-chip variations in electrical and thermal properties. We use time-frequency duality to represent thermal system in frequency domain as a low-pass filter augmented with a positive feedback path for leakage-temperature interaction. This thermal system is identified through power/thermal measurements on a packaged IC and is used for post-silicon thermal prediction. The effectiveness of the proposed effort is presented considering a 64 core processor in predictive 22nm node and SPEC2006 benchmark applications.

10 citations


Cites background from "Composable thermal modeling and cha..."

  • ...Hotspot [21]) where leakage power is updated in each time-step based on the current thermal map [19-22]....

    [...]

Journal Article•DOI•
TL;DR: In this article, thermal system identification (TSI) is used to characterize and estimate the transient thermal field of a packaged IC for various workloads considering chip-to-chip variations in electrical and thermal properties.
Abstract: Thermal system identification (TSI) is presented as a methodology to characterize and estimate the transient thermal field of a packaged IC for various workloads considering chip-to-chip variations in electrical and thermal properties. The time-frequency duality is used to identify the thermal system as a low-pass filter in frequency domain through on-line power/thermal measurements on a packaged IC. The identified characteristic system for an individual IC is used for on-line prediction of the transient thermal field of that specific IC for a power pattern. A test-chip, fabricated in 130-nm CMOS, demonstrates the effectiveness of TSI in post-silicon characterization and prediction of transient thermal field. The application TSI in thermal analysis of multicore processors is presented.

3 citations

Patent•
10 May 2017
TL;DR: In this paper, a microprocessor rapid transient heat distribution estimation method is used for solving the problems that in the prior art, temperature estimation calculation delay is large, and temperature estimation errors are large.
Abstract: The invention relates to the field of microprocessors and microprocessor heat distribution estimation, in particular to a microprocessor rapid transient heat distribution estimation method which is used for solving the problems that in the prior art, temperature estimation calculation delay is large, and temperature estimation errors are large. According to the rapid high-precision microprocessor rapid transient heat distribution estimation method, the power consumption of all components of a microprocessor is estimated through a performance counter on the microprocessor, heat distribution of the microprocessor is calculated through a microprocessor compact heat model, heat estimation is fed back and corrected by combining the read number of an on-chip physical heat sensor and the power consumption correlation of all functional modules of the microprocessor, and therefore precise heat distribution of the microprocessor is obtained.

1 citations

Journal Article•DOI•
TL;DR: In this article , a new 3D transient thermal distribution model has been constructed to capture the heat conduction behavior of multiple heat sources for chiplet heterogeneous integration (CHI) by improving the alternating direction implicit finite difference method (ADI-FDM).

1 citations

01 Jan 2016
TL;DR: In this article, the thermal profile of a VLSI system was analyzed under steady state condition using numerical techniques and simulation, and the results for numerical and simulation were compared using an ANSYS simulator.
Abstract: Smaller size of Very Large Scale Integrated (VLSI) System nowadays increases the on chip power densities causing the rise of temperature in the system. The high temperature produced will eventually affects the clock frequency of the system and changes the timing setup of the component. These lead to lowering the performance and reliability of the system. Due to the negative effects of the high temperature, designers have to determine the thermal profile of the systems in order to understand the temperature distribution, the leakage reduction and estimate the power distribution of the system. This research focuses on analyzing the thermal profile of a VLSI system under steady state condition using numerical techniques and simulation. For the numerical techniques, the governing heat equation for a two-dimensional (2D) model was solved using Finite Difference Method (FDM), Gauss-Seidel (GS) and Successive Over Relaxation (SOR) methods. Simulation based on ANSYS simulator has been conducted for validation purpose. Most commonly material used in VLSI system which is Silicon (Si) is tested under adiabatic condition. The results for numerical techniques and the simulation are compared. SOR method shows better results in terms of number of iterations and the computational time compared to GS method in solving the governing heat equation. Both methods have the same maximum temperature and these temperatures are comparable with the result obtained by using ANSYS.
References
More filters
Book•
13 Jul 2005
TL;DR: This paper presents SVD-Krylov Methods and Case Studies, a monograph on model reduction using Krylov methods for linear dynamical systems, and some examples of such reduction schemes.
Abstract: Preface Part I. Introduction: 1. Introduction 2. Motivating examples Part II. Preliminaries: 3. Tools from matrix theory 4. Linear dynamical systems, Part 1 5. Linear dynamical systems, Part 2 6. Sylvester and Lyapunov equations Part III. SVD-based Approximation Methods: 7. Balancing and balanced approximations 8. Hankel-norm approximation 9. Special topics in SVD-based approximation methods Part IV. Krylov-based Approximation Methods: 10. Eigenvalue computations 11. Model reduction using Krylov methods Part V. SVD-Krylov Methods and Case Studies: 12. SVD-Krylov methods 13. Case studies 14. Epilogue 15. Problems Bibliography Index.

2,893 citations


"Composable thermal modeling and cha..." refers background in this paper

  • ...Reducing the complexity of linear dynamic systems by means of model order reductions have been studied intensively for reducing parasitic electronic circuits in the past [2]....

    [...]

Proceedings Article•DOI•
01 May 2003
TL;DR: HotSpot is described, an accurate yet fast model based on an equivalent circuit of thermal resistances and capacitances that correspond to microarchitecture blocks and essential aspects of the thermal package that shows that power metrics are poor predictors of temperature, and that sensor imprecision has a substantial impact on the performance of DTM.
Abstract: With power density and hence cooling costs rising exponentially, processor packaging can no longer be designed for the worst case, and there is an urgent need for runtime processor-level techniques that can regulate operating temperature when the package's capacity is exceeded. Evaluating such techniques, however, requires a thermal model that is practical for architectural studies.This paper describes HotSpot, an accurate yet fast model based on an equivalent circuit of thermal resistances and capacitances that correspond to microarchitecture blocks and essential aspects of the thermal package. Validation was performed using finite-element simulation. The paper also introduces several effective methods for dynamic thermal management (DTM): "temperature-tracking" frequency scaling, localized toggling, and migrating computation to spare hardware units. Modeling temperature at the microarchitecture level also shows that power metrics are poor predictors of temperature, and that sensor imprecision has a substantial impact on the performance of DTM.

1,252 citations


"Composable thermal modeling and cha..." refers background in this paper

  • ...Thus, accurate and efficient thermal modeling and analysis are vital for the thermal-aware VLSI design [10] to improve performance, reliability, power reduction as well as online temperature regulation techniques [3], [13]....

    [...]

Journal Article•DOI•
TL;DR: A new method for performing a balanced reduction of a high-order linear system is presented, which combines the proper orthogonal decomposition and concepts from balanced realization theory and extends to nonlinear systems.
Abstract: A new method for performing a balanced reduction of a high-order linear system is presented. The technique combines the proper orthogonal decomposition and concepts from balanced realization theory. The method of snapshotsisused to obtainlow-rank,reduced-rangeapproximationsto thesystemcontrollability and observability grammiansineitherthetimeorfrequencydomain.Theapproximationsarethenusedtoobtainabalancedreducedorder model. The method is particularly effective when a small number of outputs is of interest. It is demonstrated for a linearized high-order system that models unsteady motion of a two-dimensional airfoil. Computation of the exact grammians would be impractical for such a large system. For this problem, very accurate reducedorder models are obtained that capture the required dynamics with just three states. The new models exhibit far superiorperformancethanthosederived using a conventionalproperorthogonal decomposition. Although further development is necessary, the concept also extends to nonlinear systems.

1,021 citations


"Composable thermal modeling and cha..." refers methods in this paper

  • ...Sampling-based methods [11], [14] try to mitigate the high computational cost of standard TBR method, where the Gramians are approximated using Monte-Carlo sampling approach....

    [...]

Proceedings Article•DOI•
20 Jan 2001
TL;DR: This work investigates dynamic thermal management as a technique to control CPU power dissipation and explores the tradeoffs between several mechanisms for responding to periods of thermal trauma and the effects of hardware and software implementations.
Abstract: With the increasing clock rate and transistor count of today's microprocessors, power dissipation is becoming a critical component of system design complexity. Thermal and power-delivery issues are becoming especially critical for high-performance computing systems. In this work, we investigate dynamic thermal management as a technique to control CPU power dissipation. With the increasing usage of clock gating techniques, the average power dissipation typically seen by common applications is becoming much less than the chip's rated maximum power dissipation. However system designers still must design thermal heat sinks to withstand the worse-case scenario. We define and investigate the major components of any dynamic thermal management scheme. Specifically we explore the tradeoffs between several mechanisms for responding to periods of thermal trauma and we consider the effects of hardware and software implementations. With approximate dynamic thermal management, the CPU can be designed for a much lower maximum power rating, with minimal performance impact for typical applications.

882 citations


"Composable thermal modeling and cha..." refers background in this paper

  • ...Excessive on-chip temperature can cause many severe problems such as reduced reliability of chips, elevated cooling cost of the packaging [3], [8]....

    [...]

  • ...Thus, accurate and efficient thermal modeling and analysis are vital for the thermal-aware VLSI design [10] to improve performance, reliability, power reduction as well as online temperature regulation techniques [3], [13]....

    [...]

Journal Article•DOI•
25 Sep 2006
TL;DR: A brief discussion of key sources of power dissipation and their temperature relation in CMOS VLSI circuits, and techniques for full-chip temperature calculation with special attention to its implications on the design of high-performance, low-power V LSI circuits is presented.
Abstract: The growing packing density and power consumption of very large scale integration (VLSI) circuits have made thermal effects one of the most important concerns of VLSI designers The increasing variability of key process parameters in nanometer CMOS technologies has resulted in larger impact of the substrate and metal line temperatures on the reliability and performance of the devices and interconnections Recent data shows that more than 50% of all integrated circuit failures are related to thermal issues This paper presents a brief discussion of key sources of power dissipation and their temperature relation in CMOS VLSI circuits, and techniques for full-chip temperature calculation with special attention to its implications on the design of high-performance, low-power VLSI circuits The paper is concluded with an overview of techniques to improve the full-chip thermal integrity by means of off-chip versus on-chip and static versus adaptive methods

420 citations


"Composable thermal modeling and cha..." refers background in this paper

  • ...Thus, accurate and efficient thermal modeling and analysis are vital for the thermal-aware VLSI design [10] to improve performance, reliability, power reduction as well as online temperature regulation techniques [3], [13]....

    [...]