scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Detailed characterization of anodic bonding process between glass and thin-film coated silicon substrates

30 Oct 2000-Sensors and Actuators A-physical (Elsevier)-Vol. 86, Iss: 1, pp 103-107
TL;DR: In this article, anodic bonding between Si-based and glass substrates has been characterized in detail, and the effects of magnitude of applied voltage, surface properties (coating of Si substrate), and surface cleanliness (pre-bonding cleaning procedure) on the time required for complete bonding were thoroughly studied.
Abstract: Anodic bonding between Si-based and glass substrates has been characterized in detail. The effects of magnitude of the applied voltage, surface properties (coating of Si substrate), and surface cleanliness (pre-bonding cleaning procedure) on the time required for complete bonding were thoroughly studied. First, the generic bonding time versus applied voltage plot was found to be concave in shape (viewed from the origin). For bonding between p-type Si substrate and Corning 7740 glass pre-cleaned with acetone, the time required was cut down from 38 to 4 min if the applied voltage was increased from 200 to 500 V. Second, the bonding time required for five Si-based substrates in ascending order was determined to be Si (p-type), polysilicon, silicon nitride, silicon oxide and then Si (n-type). Third, the bonding between p-type Si substrate, pre-cleaned with H2SO4–H2O2 and HF, and Corning 7740 glass was completed within 1 min, which was much faster than that pre-cleaned with acetone (4 min). Finally, from bonding point of view, Corning 7740 glass was superior to Corning 7059 glass and Fisher slide due to its thermal coefficient of expansion matching with the underlying Si substrate and the presence of significant amount of sodium ions in the glass.
Citations
More filters
Journal ArticleDOI
TL;DR: In this paper, the authors present a packed-bed approach for the use of porous silicon as a catalyst support, in the form of a thin layer covering microstructured channels, to enhance mass transfer relative to an open channel.
Abstract: Using silicon microfabrication technology, microchemical devices have been constructed for the purpose of conducting heterogeneously catalyzed multiphase reactions. The motivation behind the design, the fabrication approach, and the experimental characterization are presented for two classes of devices. The first design involves multiple parallel channels with integrated filter structures to incorporate standard catalytic materials. These catalysts are in the form of finely divided porous particles in a packed-bed arrangement. The second device involves the incorporation of porous silicon as a catalyst support, in the form of a thin layer covering microstructured channels. These microstructured channels simulate the structure of a packed bed and enhance mass transfer relative to an open channel. The ability to incorporate features at the tens-of-microns scale can reduce the mass-transfer limitations by promoting mixing and dispersion for the multiple phases. Directly integrating the catalyst support structures into the channels of the microreactor allows the precise definition of the bed properties, including the support's size, shape and arrangement, and the void fraction. Such a design would find broad applicability in enhancing the transport and active surface area for sensing, chemical, and biochemical conversion devices. Reaction rates for the gas-liquid-solid hydrogenation of cyclohexene using the integrated catalyst with porous silicon as a support compare favorably to those rates obtained with the packed-bed approach. In both cases, the mass transfer coefficient is at least 100 times better than conventional laboratory reactors.

235 citations

Journal ArticleDOI
Jun Wei, H Xie, M L Nai, C. K. Wong, L C Lee 
TL;DR: In this article, anodic bonding between silicon wafer and glass wafer (Pyrex 7740) has been achieved at low temperature using a tensile testing machine, and the bond strength is measured using the Taguchi method.
Abstract: In this paper, anodic bonding between silicon wafer and glass wafer (Pyrex 7740) has been achieved at low temperature. The bond strength is measured using a tensile testing machine. The interfaces are examined and analyzed by scanning acoustic microscopy (SAM), scanning electron microscopy (SEM) and secondary ion mass spectrometry (SIMS). The effects of the bonding parameters on bond quality are investigated using the Taguchi method. The bonding temperature used ranges from 200 °C to 300 °C. Almost bubble-free interfaces have been obtained. The bonded area increases with increasing bonding temperature. The unbonded area is less than 1.5% within the whole wafer for bonding temperature between 200 °C and 300 °C. The bond strength is higher than 10 MPa and increases with the bonding temperature. Fracture mainly occurs inside the glass wafer other than in the interface when the bonding temperature is higher than 225 °C. Higher bonding temperature results in more oxygen migration to the interface and more Si–O bonds. The bonding mechanisms consist of hydrogen bonding and Si–O chemical reaction.

147 citations

Journal ArticleDOI
TL;DR: All common types of mass analyzers have been realized by microfabrication and, in most cases, successfully applied to MS analysis in conjunction with on-chip ionization.
Abstract: Microfabrication of analytical devices is currently of growing interest and many microfabricated instruments have also entered the field of mass spectrometry (MS). Various (atmospheric pressure) ion sources as well as mass analyzers have been developed exploiting microfabrication techniques. The most common approach thus far has been the miniaturization of the electrospray ion source and its integration with various separation and sampling units. Other ionization techniques, mainly atmospheric pressure chemical ionization and photoionization, have also been subject to miniaturization, though they have not attracted as much attention. Likewise, all common types of mass analyzers have been realized by microfabrication and, in most cases, successfully applied to MS analysis in conjunction with on-chip ionization. This review summarizes the latest achievements in the field of microfabricated ion sources and mass analyzers. Representative applications are reviewed focusing on the development of fully microfabricated systems where ion sources or analyzers are integrated with microfluidic separation devices or microfabricated pums and detectors, respectively. Also the main microfabrication methods, with their possibilities and constraints, are briefly discussed together with the most commonly used materials.

123 citations


Cites background from "Detailed characterization of anodic..."

  • ...Silicon–glass anodic bonding is also a routine task (Despont et al., 1996; Berthold et al., 2000; Lee et al., 2000)....

    [...]

Journal ArticleDOI
TL;DR: In this paper, the authors investigate the optimum irradiation conditions needed to join borosilicate glass substrates and fused silica substrates using a 1-kHz 800-nm Ti:sapphire amplifier.
Abstract: When a femtosecond laser pulse is focused at the interface of two transparent substrates, localised melting and quenching of the two substrates occur around the focal volume, bridging them due to nonlinear absorption. The substrates can then be joined by resolidification of the materials. We investigate the optimum irradiation conditions needed to join borosilicate glass substrates and fused silica substrates using a 1 kHz 800 nm Ti:sapphire amplifier. We characterised the joint strength and the transmittance through joint volumes as a function of laser energy and translation velocity. We found that a joining strength as large as 14.9 MPa could be obtained in both fused silica and borosilicate glass. Annealing the joint samples led to an increase in the joint strength.

69 citations

Journal ArticleDOI
TL;DR: This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer arrays using anodic bonding, which combines the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance.
Abstract: This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer (CMUT) arrays using anodic bonding. Anodic bonding provides the established advantages of wafer-bondingbased CMUT fabrication processes, including process simplicity, control over plate thickness and properties, high fill factor, and ability to implement large vibrating cells. In addition to these, compared with fusion bonding, anodic bonding can be performed at lower processing temperatures, i.e., 350°C as opposed to 1100°C; surface roughness requirement for anodic bonding is more than 10 times more relaxed, i.e., 5-nm rootmean- square (RMS) roughness as opposed to 0.5 nm for fusion bonding; anodic bonding can be performed on smaller contact area and hence improves the fill factor for CMUTs. Although anodic bonding has been previously used for CMUT fabrication, a CMUT with a vacuum cavity could not have been achieved, mainly because gas is trapped inside the cavities during anodic bonding. In the approach we present in this paper, the vacuum cavity is achieved by opening a channel in the plate structure to evacuate the trapped gas and subsequently sealing this channel by conformal silicon nitride deposition in the vacuum environment. The plate structure of the fabricated CMUT consists of the single-crystal silicon device layer of a silicon-on-insulator wafer and a thin silicon nitride insulation layer. The presented fabrication approach employs only three photolithographic steps and combines the advantages of anodic bonding with the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance. In this paper, the developed fabrication scheme is described in detail, including process recipes. The fabricated transducers are characterized using electrical input impedance measurements in air and hydrophone measurements in immersion. A representative design is used to demonstrate immersion operation in conventional, collapse-snapback, and collapse modes. In collapsemode operation, an output pressure of 1.67 MPa pp is shown at 7 MHz on the surface of the transducer for 60-Vpp, 3-cycle sinusoidal excitation at 30-V dc bias.

65 citations


Additional excerpts

  • ...anodic bonding of borosilicate glass to thin-film coated silicon wafers was demonstrated previously [18]–[20]....

    [...]

References
More filters
Journal ArticleDOI
01 Aug 1998
TL;DR: Wafer-to-wafer bonding processes for microstructure fabrication are categorized and described in this article, which have an impact in packaging and structure design, including direct bonds, anodic bonds and bonds with intermediate layers.
Abstract: Wafer-to-wafer bonding processes for microstructure fabrication are categorized and described. These processes have an impact in packaging and structure design. Processes are categorized into direct bonds, anodic bonds, and bonds with intermediate layers. Representative devices using wafer-to-wafer bonding are presented. Processes and methods for characterization of a range of bonding methods are discussed. Opportunities for continued development are outlined.

478 citations

Journal ArticleDOI
TL;DR: In this article, the authors examined the process of anodic bonding with regard to the fabrication of silicon-glass capacitive sensors and showed the flatness control of these glasses when bonded to silicon at different temperatures.
Abstract: This paper examines the process of anodic bonding with regard to the fabrication of silicon-glass capacitive sensors. Various glasses are assessed in terms of the suitability of their physical properties and results are presented on flatness control of these glasses when bonded to silicon at different temperatures. Many of the problems which can typically affect silicon-glass sensors are examined and explained in terms of the anodic bonding process. Effects such as the electrolysis of the glass and the transport of the oxygen produced in this process are discussed and design considerations for minimising deleterious effects of this oxygen are presented. We demonstrate the process of selection of glass, bonding parameters and other material selection by reference to a device on which we are currently working.

193 citations

Journal ArticleDOI
TL;DR: In this paper, the electrostatic bonding of silicon and Pyrex glass was studied in order to find out the influence of process parameters on the final result and to be able to optimize the process with regard to the fabrication of silicon sensors.
Abstract: The electrostatic bonding of silicon and Pyrex glass was studied in order to find out the influence of process parameters on the final result and to be able to optimize the process with regard to the fabrication of silicon sensors. The most important parameters are the temperature and the voltage. The main criterion used for the optimization of their values was the induced stress in the silicon part of the bonded ensemble. It was found that a temperature of 360 degrees C and voltages in the range 750-1000 V are suitable.

163 citations

Journal ArticleDOI
TL;DR: In this paper, low-temperature bonding of Si and SiO 2 by the surface activation method in vacuum has been investigated and shown to be twice as strong as conventional bonding before annealing.
Abstract: We have investigated low-temperature bonding of Si and SiO 2 by the surface activation method in vacuum. In the method, Ar beam etching is used to create a clean surface which has strong bonding ability. The specimens are bonded in the vacuum without exposing them to the atmosphere. The strength of Si/Si bonding prepared at room temperature by the method is equivalent to the bulk strength. SiO 2 /SiO 2 bonding by the method is twice as strong as conventional bonding before annealing. In addition, the bonding prepared by Ar beam is stronger than that prepared by reactive molecule beam etching such as H 2 O and NH 3 . The influence of surface oxidation was examined by exposing an etched Si surface to residual gas in the vacuum chamber. Adsorption of reactive molecules such as H 2 O on the etched surface causes reduction of bonding strength, whereas Ar gas does not affect the bonding. These results mean that a clean surface etched by Ar beam has strong bonding ability even at room temperature.

106 citations

Journal ArticleDOI
TL;DR: In this paper, a thin plate of borosilicate glass was polarized near the annealing point with a dc voltage of typically 600 V. From the measurements of capacitance and current, the width of the polarized region and the electric field within the region were deduced.
Abstract: Thin plates of borosilicate glass were polarized near the annealing point with a dc voltage of typically 600 V. From the measurements of capacitance and current, the width of the polarized region and the electric field within the region were deduced. The results indicate that the glass layer adjacent the anode becomes depleted of positive sodium ions and of compensating negative charge. As a result, the electric field in the layer acquires a maximum value of the order of 106 V/cm. Some implications of the process with regard to field-assisted glass-metal sealing are discussed.

105 citations