scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Efficient Modeling of Power Supply Induced Jitter in Voltage-Mode Drivers (EMPSIJ)

TL;DR: In this paper, an efficient methodology for estimation of power supply induced jitter (PSIJ) in high-speed designs is presented, based on separating the large signal response and the small signal noise response and subsequently combining the results.
Abstract: An efficient methodology for estimation of power supply induced jitter (PSIJ) in high-speed designs is presented. Semianalytical expressions for jitter are derived based on separating the large signal response and the small signal noise response and subsequently combining the results. Proposed simplified relations enable the designers to estimate the PSIJ based on a single bit simulation. Proposed methods are validated on several examples of voltage-mode driver circuits, designed in different technologies and in the presence of different types of noise sources.
Citations
More filters
Journal ArticleDOI
TL;DR: An efficient method is presented for estimation of power supply induced jitter (PSIJ) based on advancing the recently proposed EMPSIJ method and developing analytical relationships to handle the combined effect of both the differential transmission lines as well as the ground bounce.
Abstract: An efficient method is presented for estimation of power supply induced jitter (PSIJ). The proposed method is based on advancing the recently proposed EMPSIJ method and developing analytical relationships to handle the combined effect of both the differential transmission lines as well as the ground bounce. Practical case studies are presented demonstrating the impact of the ground bounce as well as the transmission media on the PSIJ. The proposed method provides significant speed-up compared to the conventional PSIJ estimation approaches.

5 citations


Cites background or methods from "Efficient Modeling of Power Supply ..."

  • ...3, Cgd2 and Cgd3 are gate-to-drain capacitors of M2 and M3 , respectively [22]....

    [...]

  • ...“α” represents the slope of the output in the absence of any PDN noise [22]....

    [...]

  • ...For this purpose, it is to be noted that, the main path of supply noise (vn ) propagation on to the differential output across terminals DP/DN happens to be through the signal path A and B [22], and the transmission lines....

    [...]

  • ...Employing the EMPSIJ method [22], an expression for the TIE for the kth bit can be given as...

    [...]

  • ...1 is analyzed for small-signal noise voltages to arrive at semianalytical expressions for the PSIJ by advancing the EMPSIJ method [22]....

    [...]

DOI
TL;DR: In this article, an analytical approach to estimate jitter in CMOS inverters in the presence of ground-bounce noise (GBN) is presented, where the relationship between output and input, considering the effect of ground noise, are derived in terms of device parameters for modeling the timing variations.
Abstract: This article presents an analytical approach to estimate jitter in CMOS inverters in the presence of ground-bounce noise (GBN). The relationships between output and input, considering the effect of ground noise, are derived in terms of device parameters for modeling the timing variations. The deviation of each transition edge from the ideal transition edge is modeled using analytical equations to obtain peak-to-peak ground noise induced jitter. To examine the proposed modeling, five case studies are considered for covering the time domain as well as frequency domain estimations. The results obtained using the proposed methodology have a close match with those obtained from the simulations using the electronic design automation (EDA) tool. To claim the independence of proposed modeling with respect to a particular technology, the results are verified at 40, 65, and 180 nm technology nodes of United Microelectronics Corporation (UMC).

4 citations

Proceedings ArticleDOI
01 Jun 2019
TL;DR: This paper presents an efficient method for the estimation of jitter due to power supply noise in a chain-of-inverters including the on-chip-interconnects including the SPICE-based simulations.
Abstract: This paper presents an efficient method for the estimation of jitter due to power supply noise in a chain-of-inverters including the on-chip-interconnects. An analytical noise transfer function from power supply to output is derived based on a small-signal analysis. The estimation of jitter is done using a slope-based semi-analytical approach and the results are compared with the SPICE-based simulations.

3 citations

Journal ArticleDOI
TL;DR: In this paper , an analytical approach to estimate jitter in CMOS inverters in the presence of ground-bounce noise (GBN) is presented, where the relationship between output and input, considering the effect of ground noise, are derived in terms of device parameters for modeling the timing variations.
Abstract: This article presents an analytical approach to estimate jitter in CMOS inverters in the presence of ground-bounce noise (GBN). The relationships between output and input, considering the effect of ground noise, are derived in terms of device parameters for modeling the timing variations. The deviation of each transition edge from the ideal transition edge is modeled using analytical equations to obtain peak-to-peak ground noise induced jitter. To examine the proposed modeling, five case studies are considered for covering the time domain as well as frequency domain estimations. The results obtained using the proposed methodology have a close match with those obtained from the simulations using the electronic design automation (EDA) tool. To claim the independence of proposed modeling with respect to a particular technology, the results are verified at 40, 65, and 180 nm technology nodes of United Microelectronics Corporation (UMC).

3 citations

Proceedings ArticleDOI
01 Dec 2017
TL;DR: The proposed semi-analytical method for jitter analysis is compared against the conventional simulations (commercial tools) in a 55nm technology of STMicroelectronics to find a reasonable matching.
Abstract: In this paper, a method is presented to estimate the effect of transmission media on power supply induced jitter for a voltage-mode driver circuit Transmission media is represented via its equivalent models of transmission lines while calculating the power supply induced jitter The proposed semi-analytical method for jitter analysis is compared against the conventional simulations (commercial tools) in a 55nm technology of STMicroelectronics A reasonable matching is reported

3 citations


Cites background or methods from "Efficient Modeling of Power Supply ..."

  • ...In this paper, the recently proposed method EMPSIJ [8] is extended to include the effects of the transmission media for PSIJ estimation....

    [...]

  • ...In the proposed work, EMPSIJ [8] is extended to include the effects of transmission media on PSIJ estimation....

    [...]

  • ...The main path of noise propagation on to the differential output across terminals DP/DN happens to be through the signal path A and B [8]....

    [...]

  • ...Unlike the previous approaches for jitter estimation which typically focus on the load that are modeled as RC components [4]-[8], in the proposed method, the delivery medium is also incorporated in terms of transmission line models....

    [...]

  • ...Using (1), PSIJ can be estimated by employing the semianalytical method EMPSIJ [8]....

    [...]

References
More filters
Book
01 Jan 1965
TL;DR: In this paper, the authors provide a broad overview of Fourier Transform and its relation with the FFT and the Hartley Transform, as well as the Laplace Transform and the Laplacian Transform.
Abstract: 1 Introduction 2 Groundwork 3 Convolution 4 Notation for Some Useful Functions 5 The Impulse Symbol 6 The Basic Theorems 7 Obtaining Transforms 8 The Two Domains 9 Waveforms, Spectra, Filters and Linearity 10 Sampling and Series 11 The Discrete Fourier Transform and the FFT 12 The Discrete Hartley Transform 13 Relatives of the Fourier Transform 14 The Laplace Transform 15 Antennas and Optics 16 Applications in Statistics 17 Random Waveforms and Noise 18 Heat Conduction and Diffusion 19 Dynamic Power Spectra 20 Tables of sinc x, sinc2x, and exp(-71x2) 21 Solutions to Selected Problems 22 Pictorial Dictionary of Fourier Transforms 23 The Life of Joseph Fourier

5,714 citations

Journal ArticleDOI

1,534 citations


"Efficient Modeling of Power Supply ..." refers background in this paper

  • ...Using Fourier series, the pulse train is represented by a linear sum of a set of sinusoidal signals of different frequencies and each having certain coefficients [19]...

    [...]

  • ...where A is the amplitude and T is the time period of the wave [19]....

    [...]

Book
19 Nov 2007
TL;DR: The fundamental terminology, definitions, and concepts associated with JNB and SI, as well as their sources and root causes are introduced, and Dr. Li provides powerful new tools for solving these problems quickly, efficiently, and reliably.
Abstract: State-of-the-art JNB and SI Problem-Solving: Theory, Analysis, Methods, and ApplicationsJitter, noise, and bit error (JNB) and signal integrity (SI) have become today's greatest challenges in high-speed digital design. Now, there's a comprehensive and up-to-date guide to overcoming these challenges, direct from Dr. Mike Peng Li, cochair of the PCI Express jitter standard committee.One of the field's most respected experts, Li has brought together the latest theory, analysis, methods, and practical applications, demonstrating how to solve difficult JNB and SI problems in both link components and complete systems. Li introduces the fundamental terminology, definitions, and concepts associated with JNB and SI, as well as their sources and root causes. He guides readers from basic math, statistics, circuit and system models all the way through final applications. Emphasizing clock and serial data communications applications, he covers JNB and SI simulation, modeling, diagnostics, debugging, compliance testing, and much more.Coverage includes? JNB component classification, interrelationships, measurement references, and transfer functions Statistical techniques and signal processing theory for quantitatively understanding and modeling JNB and related components Jitter, noise, and BER: physical/mathematical foundations and statistical signal processing views Jitter separation methods in statistical distribution, time, and frequency domains Clock jitter in detail: phase, period, and cycle-to-cycle jitter, and key interrelationships among them PLL jitter in clock generation and clock recovery Jitter, noise, and SI mechanisms in high-speed link systems Quantitative modeling and analysis for jitter, noise, and SI Testing requirements and methods for links and systems Emerging trends in high-speed JNB and SI As data rates continue to accelerate, engineers encounter increasingly complex JNB and SI problems. In Jitter, Noise, and Signal Integrity at High-Speed, Dr. Li provides powerful new tools for solving these problemsi??quickly, efficiently, and reliably.Preface xvAcknowledgements xxiAbout the Author xxiiiChapter 1: Introduction 1Chapter 2: Statistical Signal and Linear Theory for Jitter, Noise, and Signal Integrity 27Chapter 3: Source, Mechanism, and Math Model for Jitter and Noise 75Chapter 4: Jitter, Noise, BER (JNB), and Interrelationships 109Chapter 5: Jitter and Noise Separation and Analysis in Statistical Domain 131Chapter 6: Jitter and Noise Separation and Analysis in the Time and Frequency Domains 163Chapter 7: Clock Jitter 185Chapter 8: PLL Jitter and Transfer Function Analysis 209Chapter 9: Jitter and Signal Integrity Mechanisms for High-Speed Links 253Chapter 10: Modeling and Analysis for Jitter and Signaling Integrity for High-Speed Links 281Chapter 11: Testing and Analysis for Jitter and Signaling Integrity for High-Speed Links 309Chapter 12: Book Summary and Future Challenges 345Index 353

178 citations


"Efficient Modeling of Power Supply ..." refers background in this paper

  • ..., coupling, power supply noise and bandwidth limitation of channel [3])....

    [...]

  • ...There are various subcomponents of jitter that can be classified mainly into two groups: random jitter (RJ) and deterministic jitter (DJ) [3]....

    [...]

BookDOI
01 Jan 2013

86 citations


"Efficient Modeling of Power Supply ..." refers background in this paper

  • ...Consequently, the emerging design trends with sharper signal edges and reduced voltage/timing margins have posed numerous signal and power integrity challenges [1], [2]....

    [...]