scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs

01 Jan 2010-IEEE Transactions on Electron Devices (IEEE)-Vol. 57, Iss: 1, pp 256-262
TL;DR: In this paper, the RLC parameters of the TSV are modeled as a function of physical parameters and material characteristics, and a TSV RLC model is applied to predict the resistance, inductance, and capacitances of small-geometry TSV architectures.
Abstract: Three-dimensional ICs provide a promising option to build high-performance compact SoCs by stacking one or more chips vertically. Through silicon vias (TSVs) form an integral component of the 3-D IC technology by enabling vertical interconnections in 3-D ICs. TSV resistance, inductance, and capacitance need to be modeled to determine their impact on the performance of a 3-D circuit. In this paper, the RLC parameters of the TSV are modeled as a function of physical parameters and material characteristics. Models are validated with the numerical simulators like Raphael and Sdevice and with experimental measurements. The TSV RLC model is applied to predict the resistance, inductance, and capacitances of small-geometry TSV architectures. Finally, this paper also proposes a simplified lumped TSV model that can be used to simulate 3-D circuits.

Summary (1 min read)

Introduction

  • Through silicon vias (TSVs) form an integral component of the 3-D IC technology by enabling vertical interconnections in 3-D ICs.
  • The TSV resistance (RTSV), inductance (LTSV), and capacitance (CTSV) are modeled as a function of physical dimensions and material characteristics of the TSV.

III. TSV ELECTRICAL CHARACTERIZATION AND PROGNOSTICS

  • RTSV is characterized from the resistance measurement of TSV daisy chains, as shown in Fig. 2(a).
  • The LTSV empirical model is validated with the inductance measurements performed by Leung and Chen [5] shown in Table V.
  • It can be seen that Cox and CTSVMIN increase with decreasing oxide liner thickness and increasing TSV diameter as expected.
  • Shorter TSV length offers lower CTSV, and hence, a thin wafer obtained after grinding and CMP would offer minimum capacitance compared with a thick wafer.
  • The reduction in capacitance by the depletion capacitance for varying TSV oxide thickness is shown in Fig.

IV. LUMPED TSV MODEL

  • The circuit consists of an inverter placed on the bottom tier driving an inverter on the top tier through TSV and BEOL RC loads.
  • First-hand calculations [14] indicate that Cint and Cext are on the order of ∼3 fF and the driving resistance of the inverter is on the order of kiloohms for 0.25-μm technology.
  • Smaller cross sections and longer lengths of BEOL metal lines provide larger resistances than estimated RTSV = 18 mΩ in contemporary TSV architecture with 5-μm TSV diameter.
  • RTSV and CTSV are varied, and their impact on the RO delay is shown in Fig. 10.

V. SUMMARY AND CONCLUSION

  • The resistance, inductance, and capacitance of a TSV are modeled as a function of physical parameters and material characteristics.
  • By solving (3) with the boundary conditions given in (4)–(5), the solution of the potential is derived as ψ(r)=.
  • This solution is quickly converging even for the small-geometry TSV dimensions.
  • The depletion capacitance is given by Cdep = 2πεsilTSV ln ( Rdep Rox ) and Rdep is the radial depletion width obtained by solving (A5) for Rdep for a given bias VTSV.

Did you find this useful? Give us your feedback

Content maybe subject to copyright    Report

256 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, NO. 1, JANUARY 2010
Electrical Modeling and Characterization of Through
Silicon via for Three-Dimensional ICs
Guruprasad Katti, Michele Stucchi, Kristin De Meyer, and Wim Dehaene, Senior Member, IEEE
Abstract—Three-dimensional ICs provide a promising option to
build high-performance compact SoCs by stacking one or more
chips vertically. Through silicon vias (TSVs) form an integral
component of the 3-D IC technology by enabling vertical intercon-
nections in 3-D ICs. TSV resistance, inductance, and capacitance
need to be modeled to determine their impact on the performance
of a 3-D circuit. In this paper, the RLC parameters of the TSV are
modeled as a function of physical parameters and material charac-
teristics. Models are validated with the numerical simulators like
Raphael and Sdevice and with experimental measurements. The
TSV RLC model is applied to predict the resistance, inductance,
and capacitances of small-geometry TSV architectures. Finally,
this paper also proposes a simplified lumped TSV model that can
be used to simulate 3-D circuits.
Index Terms—Three-dimensional ICs, through silicon via
(TSV), TSV lumped RLC model.
I. INTRODUCTION
B
ENEFITS of 3-D integrated circuits (ICs) include im-
proved packing density, better noise immunity, reduced
power consumption, and faster speed due to reduced wire
length/lower wire capacitance. In addition, 3-D ICs are promis-
ing for the heterogeneous integration of different technologies
(logic, memory, RF, analog, etc.) which would enable high-
performance and compact SoCs [1]. The fabrication of 3-D
stacked IC (SIC) involves stacking of one or more chips, and
the through silicon via (TSV) [2] constitutes a key component
for interconnecting chips vertically and forms a cylindrical
metal–oxide–semiconductor (MOS) capacitor with the semi-
conductor substrate acting as the bulk and the TSV metal acting
as a gate.
The impact of TSV on the 3-D circuit performance needs
to be evaluated, and there have been attempts to characterize
the resistance and capacitance of TSV [3], [4]. The microwave
characterization of TSV [5] and the extraction of the high-
frequency electrical circuit model of TSV based on S-parameter
measurements [6] have been attempted. Cheng et al. [3] have
presented the TSV CV characteristics but do not attempt
to model the TSV. The TSV structure in [3] also involves
Manuscript received June 16, 2009; revised September 28, 2009. First
published November 24, 2009; current version published December 23, 2009.
The review of this paper was arranged by Editor J. Woo.
G. Katti, K. De Meyer, and W. Dehaene are with IMEC, 3001 Leuven,
Belgium, and also with the Department of Electrical Engineering, Katholieke
Universiteit Leuven, Leuven 3001, Belgium (e-mail: guruprasad.katti@
imec.be).
M. Stucchi is with IMEC, Leuven 3001, Belgium.
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/TED.2009.2034508
Fig. 1. TSV architecture.
a predominant reversed bias capacitance of the p-n junction
diodes. Moreover, the TSV structure and the 3-D process used
in [3] and [4] are different when compared to the TSV process
[7] adopted today. Leung and Chen [5] measured the resistance
and inductance of large TSV structures but do not correlate
them with the physical dimensions and material characteristics.
Ryu et al. [6] extract the resistance, inductance, and capacitance
of the TSV from S-parameter measurements but the corela-
tion to the physical dimensions and material properties of the
TSV is missing. In this paper, the TSV resistance (R
TSV
),
inductance (L
TSV
), and capacitance (C
TSV
) are modeled as
a function of physical dimensions and material characteristics
of the TSV. A validated lumped TSV model built using the
TSV RLC parameters is then approximated to form a simple
lumped TSV model, which enables fast yet accurate 3-D circuit
simulations.
First-order expressions for R
TSV
, L
TSV
, and C
TSV
as a
function of physical parameters and material characteristics are
derived and validated with numerical simulators like Raphael
[8] and Sdevice [9] in Section II. Electrical measurements per-
formed to characterize R
TSV
, L
TSV
, and C
TSV
further validate
the models in Section III. Validated models are then extended
to predict the RLC parameters of the future small-geometry
TSV structures foreseen in ITRS [10]. A lumped model for the
TSV using R
TSV
, L
TSV
, and C
TSV
is proposed and further
simplified in Section IV. Section V concludes this paper.
II. R
TSV
, L
TSV
, AND C
TSV
MODELING
In the 3-D TSV first approach [7], TSVs are fabricated after
FEOL processing and before BEOL processing and enable the
interconnection between the Top Metal of the bottom tier and
Metal1 of the top tier, as shown in the cross section of Fig. 1.
Analytical modeling starting from the basic electrodynamic
principles aid in understanding the impact of physical and
technological parameters on R
TSV
, L
TSV
, and C
TSV
.
0018-9383/$26.00 © 2009 IEEE

KATTI et al.: ELECTRICAL MODELING AND CHARACTERIZATION OF THROUGH SILICON VIA FOR THREE-DIMENSIONAL ICs 257
TAB LE I
R
TSV_DC
COMPARISON BETWEEN ANALYTICAL MODEL AND RAPHAEL SIMULATIONS
TAB LE II
EMPIRICAL L
TSV
ESTIMATIONS
R
TSV
Model: The analytical expression of the dc resistance
of the TSV is given by
R
TSV_DC
=
ρl
TSV
πr
2
TSV
(1)
where ρ is the resistivity of the conducting material. r
TSV
and
l
TSV
represent the radius and length of the TSV, respectively.
The analytical model and Raphael [8] simulations show very
good agreement for different TSV architectures, as shown
Table I.
For high-frequency signals, however, the increase in resis-
tance due to skin effect should be accounted. Expressions
derived by Goldfarb and Pucel [11] are used to derive the
R
TSV_AC
for higher frequencies. With Cu as TSV conductor,
the resistivity is 16.8 nΩ · m and the frequency where skin depth
is equal to the radius of the TSV is estimated to be 738 MHz for
a TSV with 5-μm diameter and 100-nm oxide liner thickness
and 4.71 GHz for TSV with 2-μm diameter with 50-nm oxide
liner thickness, respectively. As expected, resistance increase
due to skin effect is quite significant for higher diameter TSV
structures.
L
TSV
Model: The partial self-inductance of the TSV de-
pends upon the diameter and length of the TSV and is given
by the following empirical expression [12]:
L
TSV
=
μ
o
4π
2l
TSV
ln
2
l
TSV
+
r
2
TSV
+
(
2
l
TSV
)
2
r
TSV
+
r
TSV
r
2
TSV
+(2l
TSV
)
2
(2)
where μ
o
is the permeability of free space given by 4π ×
10
7
H/m. Empirical partial self-inductance model estimation
results are shown in Table II.
For contemporary TSV architectures with 5-μm diameter and
20-μm length, L
TSV
is estimated to be 10 pH. Inductive voltage
drop ωL
TSV
exceeds R
TSV
only for frequencies above 3 GHz,
suggesting that inductance can be ignored for clock frequencies
with rise and fall times below 3 GHz.
C
TSV
Model: An analytical expression for C
TSV
can be
obtained by solving Poisson’s equation. While the planar MOS
capacitor structure has been studied analytically by solving
Poisson’s equation [13] in a Cartesian coordinate system, the
TSV MOS capacitor requires a solution of Poisson’s equation
in a cylindrical coordinate system. It is sufficient to solve a
1-D Poisson’s equation in the radial direction, as the peripheral
(Φ) and the longitudinal (z) variation in potential (Ψ) is
insignificant. The 1-D Poisson’s equation in cylindrical coor-
dinate system with a p-Si substrate is given by
1
r
∂r
r
∂ψ
∂r
=
qN
a
ε
si
(3)
where q is the electron charge, N
a
is the doping concentration
of p-Si substrate, and ε
si
is the permittivity of silicon. The
boundary conditions given by the following equations suggest
that the potential and the electric field (E) at the depletion
radius (R
dep
) shown in the top view of Fig. 1 is zero
ψ|
R
dep
= 0(4)
E|
R
dep
=
∂ψ
∂r
R
dep
= 0. (5)
This is called the partial depletion approximation that consid-
ers a depleted silicon film between R
ox
<r<R
dep
near the
SiSiO
2
interface while a neutral region for r R
dep
in p-Si
substrate, as shown in the top view of Fig. 1.
An expression for the potential variation with respect to the
TSV gate voltage based on the solution of Poisson’s equation
and the derivation of the key MOS capacitor parameters, such as
accumulation, depletion, and minimum depletion capacitance
of the TSV, is elaborated in the Appendix. The nature of the
TSV CV characteristics is similar to the planar MOS capacitor
such that the accumulation capacitance is the oxide capacitance
given as
C
TSVACC
= C
ox
=
2πε
ox
l
TSV
ln
R
ox
R
Metal
. (6)
As the TSV gate bias increases, the depletion capacitance acts
in series with the oxide capacitance such that the effective
capacitance is the series combination of the oxide and de-
pletion capacitances. The minimum depletion capacitance is

258 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, NO. 1, JANUARY 2010
TABLE III
C
TSV
COMPARISON BETWEEN ANALYTICAL MODEL AND RAPHAEL SIMULATIONS
reached when the depletion radius reaches its maximum and is
given by
C
TSVMIN
=
C
ox
C
dep min
C
ox
+ C
dep min
where
C
dep min
=
2πε
si
l
TSV
ln
R
max
R
ox
. (7)
The accumulation and depletion capacitance expressions show
that the C
TSV
is directly proportional to the length of the TSV
and inversely proportional to the TSV dielectric thickness, as
expected. Moreover, R
max
is inversely proportional to substrate
doping concentration such that the depletion capacitance and
threshold voltage would be higher for higher doping concentra-
tion when compared to lower doping concentration. Thus, low-
doped p-Si substrates are an ideal choice to achieve minimum
C
TSV
.
The comparison between Sdevice [9] simulations and the
analytical model results for various TSV parameters is detailed
in Table III. The analytical model is able to accurately pre-
dict the oxide capacitance and minimum depletion capacitance
for varying TSV diameter, oxide liner thickness, and doping
concentration.
R
TSV
and C
TSV
measurement results are shown in the next
section. Experimental results are used to validate the RLC TSV
models. RLC TSV models are further extended to predict the
resistance, inductance, and capacitance values of future small-
geometry TSVs.
III. TSV E
LECTRICAL CHARACTERIZATION
AND
PROGNOSTICS
R
TSV
is characterized from the resistance measurement of
TSV daisy chains, as shown in Fig. 2(a). Fig. 2(b) shows a sin-
gular section of the simulated TSV daisy chain in Raphael [8].
The TSV diameter is 5 μm with 10-μm pitch while TSV length
is reduced to 20 μm after wafer thinning. Raphael simulations
are performed, assuming Cu resistivity to be 16.8 nΩ · m.
Simulated resistance values are in good agreement with the
measurements as shown in Table IV, suggesting the method-
ology can indeed be used to model the resistance of a single
TSV as in Section II.
The L
TSV
empirical model is validated with the inductance
measurements performed by Leung and Chen [5] shown in
Table V. It can be seen that the inductance estimations match
Fig. 2. (a) SEM of 3D-SIC TSV daisy chain after etching away top substrate.
(b) Section of a TSV daisy chain used for Raphael simulations.
with the inductance measurements, and the model can be used
to predict the inductance of future small-geometry TSVs.
C
TSV
is characterized by using the TSV embedded and de-
embedded structures to eliminate the effect of BEOL parasitic
capacitances. Fig. 3(a) shows the TSV cross section, indicating
the resultant effective oxide liner thickness on the top and
bottom of TSV. TSV Sdevice [9] simulation results consider-
ing ε
ox
= 3.9, φ
m
= 4.7 eV, N
a
= 2 × 10
15
/cm
3
, and t
ox
=
118.20 nm with varying fixed oxide charges were compared
with the TSV high-frequency CV measurements, as shown
in Fig. 3(b). In the desired operating voltage region from 0 to
V
dd
(1 V), the TSV exhibits minimum depletion capacitance.
The accumulation and the minimum depletion capacitance
match well with the measurements. Five-percent discrepancy in
the oxide capacitance can be attributed to the reduction of oxide
thickness at the bottom of the tapering TSV. In addition, the
discrepancy in different CV slopes in the depletion region can
be attributed to the presence of interface states at the SiSiO
2
interface, which have been ignored during Sdevice simulations.
The ITRS [10] predicts TSV architectures with a diameter
of 1 μm and minimum TSV length of 10 μm by 2015. Figs. 4
and 5 show C
ox
and C
TSVMIN
, respectively, as a function of
TSV diameter and oxide thicknesses with N
a
= 2 × 10
15
/cm
3
and l
TSV
= 20 μm. It can be seen that C
ox
and C
TSVMIN
increase with decreasing oxide liner thickness and increasing
TSV diameter as expected. Shorter TSV length offers lower
C
TSV
, and hence, a thin wafer obtained after grinding and CMP
would offer minimum capacitance compared with a thick wafer.
However, processing a thin wafer increases the processing steps
as the wafer has to undergo bonding and debonding with a
carrier wafer during the 3-D IC fabrication.
The reduction in capacitance by the depletion capacitance
for varying TSV oxide thickness is shown in Fig. 6. The most

KATTI et al.: ELECTRICAL MODELING AND CHARACTERIZATION OF THROUGH SILICON VIA FOR THREE-DIMENSIONAL ICs 259
TAB LE IV
R
APHAEL SIMULATION AND R
TSV
MEASUREMENT COMPARISON
TAB LE V
L
TSV
EMPIRICAL MODEL AND MEASUREMENT COMPARISON
Fig. 3. (a) Single TSV FIB image. (b). Sdevice TSV CV simulations versus
measurements.
Fig. 4. C
ox
(in femtofarads) variation with TSV diameter and oxide
thickness.
effective reduction is achieved for lower oxide thicknesses. In
fact, for lower oxide thickness, the oxide capacitance becomes
larger and less significant in the series with the depletion
capacitance. The variation of C
TSV
with doping concentration
is shown in Fig. 7. As expected, lower doping concentrations in-
Fig. 5. C
TSVMIN
(in femtofarads) variation with TSV diameter and oxide
thickness.
Fig. 6. C
TSVMIN
reduction for varying TSV oxide thicknesses.
crease the depletion width and hence reduce C
TSVMIN
, making
highly resistive substrate attractive for low-capacitance TSVs.
IV. L
UMPED TSV MODEL
A lumped RLC model for the TSV is shown in Fig. 8(a).
R
TSV
and L
TSV
cause the voltage drop along the intercon-
nected nodes between Metal1 of the top tier and Top Metal of
the bottom tier. C
TSV
is connected between TSV and ground.
As suggested in Section II, for the current TSV dimensions of
5-μm diameter and 20-μm length, L
TSV
is predominant only
for clock frequencies with rise and fall times above 3 GHz
when ωL
TSV
R
TSV
. The approximate model by ignoring

260 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, NO. 1, JANUARY 2010
Fig. 7. C
TSVMIN
variation with doping.
Fig. 8. (a) RLC TSV model. (b) RC TSV model. (c) C TSV model.
Fig. 9. (a) Bottom inverter–TSV–top inverter schematic. (b) Equivalent elec-
trical circuit.
the inductance reduces to a simplified RC model shown in
Fig. 8(b). The impact of R
TSV
and C
TSV
on the TSV delay
can be analyzed with the help of the circuit shown in Fig. 9(a).
The circuit consists of an inverter placed on the bottom tier
driving an inverter on the top tier through TSV and BEOL RC
loads. RC elements appearing in the signal path are shown in
Fig. 9(b). C
ext
and C
int
indicate the output and input capac-
itances of the inverter. R
w_B
and C
w_B
denote the lumped
BEOL load on the bottom tier while R
w_T
and C
w_T
denote
the lumped BEOL load on the top tier.
The following Elmore delay expression is used to analyze the
impact of R
TSV
and C
TSV
on the path delay:
tp = 0.69R
dr
C
ext
+ 0.69(R
dr
+ R
w_B
)C
w_B
+ 0.69(R
dr
+ R
w_B
+ 0.5R
TSV
)C
TSV
+ 0.69(R
dr
+ R
w_B
+ R
TSV
+ R
w_T
)(C
w_T
+ C
int
)
(8)
where R
dr
is the driving resistance of the inverter.
First-hand calculations [14] indicate that C
int
and C
ext
are
on the order of 3 fF and the driving resistance of the inverter
is on the order of kiloohms for 0.25-μm technology. Since
C
TSV
= 35 fF is much larger than the C
int
and C
ext
values,
the term (R
dr
+ R
w_B
+ 0.5 R
TSV
)C
TSV
has a larger weight
Fig. 10. RO delay (in microseconds) with varying R
TSV
and C
TSV
.
in determining the delay in (8). Moreover, in (8), the term R
TSV
is always added to the driving resistance of the inverter R
dr
and
BEOL resistances R
w_B
and R
w_T
. Smaller cross sections and
longer lengths of BEOL metal lines provide larger resistances
than estimated R
TSV
= 18 mΩ in contemporary TSV architec-
ture with 5-μm TSV diameter. Hence, R
TSV
would cause a
minimal impact on the delay. A predominant impact of C
TSV
and a reduced impact of R
TSV
approximate the lumped TSV
model, as shown in Fig. 8(c).
To corroborate the aforementioned analysis, a 41-stage ring
oscillator (RO) with inverters in alternate tiers connected by
TSVs is simulated using Spectre [15]. The RO is cascaded with
an eight-stage frequency divider circuit at the output. R
TSV
and
C
TSV
are varied, and their impact on the RO delay is shown in
Fig. 10. Variations in R
TSV
values for a given value of C
TSV
do
not change the RO delay significantly but RO delay increases
significantly with increasing C
TSV
. Thus, changes in R
TSV
show minimal impact on the delay, while the impact of C
TSV
is not negligible. The dynamic power dissipation C
TSV
V
2
dd
f is
also reduced by lower TSV capacitance, and therefore, efforts
should be made to reduce the TSV capacitance.
V. S
UMMARY AND CONCLUSION
The resistance, inductance, and capacitance of a TSV are
modeled as a function of physical parameters and material
characteristics. Estimations of R, L, and C matchverywell
with the numerical simulation results from Raphael and Sdevice
and with experimental measurements. The impact of various
technology parameters on the resistance, inductance, and ca-
pacitance of TSV has been analyzed, and the models are applied
to predict the resistance, inductance, and capacitance of the
small-geometry TSVs. The TSV lumped impedance model
is based on these RLC elements and shows that the TSV
capacitance has the most dominant impact on the delay.
A
PPENDIX
By solving (3) with the boundary conditions given in (4)–(5),
the solution of the potential is derived as
ψ(r)=
qN
a
r
2
4ε
si
qN
a
R
2
dep
2ε
si
ln(r)+
qN
a
R
2
dep
4ε
si
(2 ln(R
dep
)1) .
(A1)

Citations
More filters
Journal ArticleDOI
TL;DR: In this article, the authors proposed a high-frequency scalable electrical model of a through silicon via (TSV) channel, which includes not only the TSV, but also the bump and the redistribution layer (RDL), which are additional components when using TSVs for 3D integrated circuit (IC) design.
Abstract: We propose a high-frequency scalable electrical model of a through silicon via (TSV). The proposed model includes not only the TSV, but also the bump and the redistribution layer (RDL), which are additional components when using TSVs for 3-D integrated circuit (IC) design. The proposed model is developed with analytic RLGC equations derived from the physical configuration. Each analytic equation is proposed as a function of design parameters of the TSV, bump, and RDL, and is therefore, scalable. The scalability of the proposed model is verified by simulation from the 3-D field solver with parameter variations, such as TSV diameter, pitch between TSVs, and TSV height. The proposed model is experimentally validated through measurements up to 20 GHz with fabricated test vehicles of a TSV channel, which includes TSVs, bumps, and RDLs. Based on the proposed scalable model, we analyze the electrical behaviors of a TSV channel with design parameter variations in the frequency domain. According to the frequency-domain analysis, the capacitive effect of a TSV is dominant under 2 GHz. On the other hand, as frequency increases over 2 GHz, the inductive effect from the RDLs becomes significant. The frequency dependent loss of a TSV channel, which is capacitive and resistive, is also analyzed in the time domain by eye-diagram measurements. Due to the frequency dependent loss, the voltage and timing margins decrease as the data rate increases.

422 citations

Journal ArticleDOI
18 Oct 2010
TL;DR: Experimental results of a 3-D Network-on-Chip implementation demonstrate that the NoC concept can be extended from 2-D SoC to 3- D SoCs at low area and power and digital gates can directly drive signals through TSVs at high speed and low power.
Abstract: In this paper key design issues and considerations of a low-cost 3-D Cu-TSV technology are investigated. The impact of TSV on BEOL interconnect reliability is limited, no failures have been observed. The impact of TSV stress on MOS devices causes shifts, further analysis is required to understand their importance. Thermal hot spots in 3-D chip stacks cause temperature increases three times higher than in 2-D chips, necessitating a careful thermal floorplanning to avoid thermal failures. We have monitored for ESD during 3-D processing and have found no events take place, however careful further monitoring is required. The noise coupling between two tiers in a 3-D chip-stack is 20 dB lower than in a 2-D SoC, opening opportunities for increased mixed signal system performance. The impact on digital circuit performance of TSVs is accurately modeled with the presented RC model and digital gates can directly drive signals through TSVs at high speed and low power. Experimental results of a 3-D Network-on-Chip implementation demonstrate that the NoC concept can be extended from 2-D SoC to 3-D SoCs at low area (0.018 ) and power (3%) overhead.

324 citations

Journal ArticleDOI
TL;DR: In this article, the authors presented the first comprehensive and accurate compact RLCG model for through-silicon vias (TSVs) in 3D ICs valid from low- to high-frequency regimes, with consideration of the MOS effect in silicon, the alternating-current (ac) conduction in silicon and the skin effect in TSV metal, and the eddy currents in the silicon substrate.
Abstract: This paper introduces the first comprehensive and accurate compact resistance-inductance-capacitance-conductance (RLCG ) model for through-silicon vias (TSVs) in 3-D ICs valid from low- to high-frequency regimes, with consideration of the MOS effect in silicon, the alternating-current (ac) conduction in silicon, the skin effect in TSV metal, and the eddy currents in the silicon substrate. The model is verified against electrostatic measurements as well as a commercial full-wave electromagnetic simulation tool and subsequently employed for various performance (delay) analyses. The compact model is also applicable to TSVs made of carbon nanotube (CNT) bundles, once a slight modification (making the effective conductivity complex) is made. Various geometries (as per the International Technology Roadmap for Semiconductors) and prospective materials (Cu, W, and single-walled/multiwalled CNTs) are evaluated, and a comparative performance analysis is presented. It is shown that CNT-bundle-based TSVs can offer smaller or comparable high-frequency resistance than those of other materials due to the reduced skin effect in CNT bundle structures. On the other hand, the performance (delay) analysis indicates that the performance differences among different TSV materials are rather small. However, it is shown that CNTs provide an improved heat dissipation path due to their much higher thermal conductivity. In addition, the improved mechanical robustness and thermal stability of CNTs also favor their selection as TSV materials in emerging 3-D ICs.

255 citations


Cites background or methods or result from "Electrical Modeling and Characteriz..."

  • ...2The modeling of the MOS effect is simultaneously but independently developed in [3] and [14], based on the same physical concepts....

    [...]

  • ...1 In [14], the MOS effect including the impact of the interface charge is discussed from experimental, numerical simulation, and analytical analyses, but there are...

    [...]

  • ...Second, in [14, (A5)], the term 2 ln(Na/ni) should be replaced with ψ(Rox) in [14], which is equivalently written as ψ(rvia + tox) in (3) of this paper....

    [...]

  • ...Note that this assumption leads to full agreement with the experimental C–V curve in [8] and [14], where the “threshold voltage” is very negative....

    [...]

  • ...However, there are errors in the final equations in [14]....

    [...]

Journal ArticleDOI
TL;DR: This work describes the performance of photonic and electronic hardware underlying neural network models using multiply-accumulate operations, and investigates the limits of analog electronic crossbar arrays and on-chip photonic linear computing systems.
Abstract: It has long been known that photonic communication can alleviate the data movement bottlenecks that plague conventional microelectronic processors. More recently, there has also been interest in its capabilities to implement low precision linear operations, such as matrix multiplications, fast and efficiently. We characterize the performance of photonic and electronic hardware underlying neural network models using multiply-accumulate operations. First, we investigate the limits of analog electronic crossbar arrays and on-chip photonic linear computing systems. Photonic processors are shown to have advantages in the limit of large processor sizes ( ${>}\text{100}\; \mu$ m), large vector sizes ( $N > 500)$ , and low noise precision ( ${\leq} 4$ bits). We discuss several proposed tunable photonic MAC systems, and provide a concrete comparison between deep learning and photonic hardware using several empirically-validated device and system models. We show significant potential improvements over digital electronics in energy ( ${>}10^2$ ), speed ( ${>}10^3$ ), and compute density ( ${>}10^2$ ).

187 citations


Cites background from "Electrical Modeling and Characteriz..."

  • ..., TOVs with <50 fF [113]), and low-node electronics (i....

    [...]

Proceedings ArticleDOI
12 Mar 2012
TL;DR: CACTI-3DD is introduced, the first architecture-level integrated power, area, and timing modeling framework for 3D die-stacked off-chip DRAM main memory, and the results show that the 3D DRAM with re-architected DRAM dies achieves significant improvements in power and timing compared to the coarse-grained 3DDie-Stacked DRAM.
Abstract: Emerging 3D die-stacked DRAM technology is one of the most promising solutions for future memory architectures to satisfy the ever-increasing demands on performance, power, and cost. This paper introduces CACTI-3DD, the first architecture-level integrated power, area, and timing modeling framework for 3D die-stacked off-chip DRAM main memory. CACTI-3DD includes TSV models, improves models for 2D off-chip DRAM main memory over current versions of CACTI, and includes 3D integration models that enable the analysis of a full spectrum of 3D DRAM designs from coarse-grained rank-level 3D stacking to bank-level 3D stacking. CACTI-3DD enables an in-depth study of architecture-level tradeoffs of power, area, and timing for 3D die-stacked DRAM designs. We demonstrate the utility of CACTI-3DD in analyzing design trade-offs of emerging 3D die-stacked DRAM main memories. We find that a coarse-grained 3D DRAM design that stacks canonical DRAM dies can only achieve marginal benefits in power, area, and timing compared to the original 2D design. To fully leverage the huge internal bandwidth of TSVs, DRAM dies must be re-architected, and system implications must be considered when building 3D DRAMs with redesigned 2D planar DRAM dies. Our results show that the 3D DRAM with re-architected DRAM dies achieves significant improvements in power and timing compared to the coarse-grained 3D die-stacked DRAM.

181 citations


Cites background or methods from "Electrical Modeling and Characteriz..."

  • ...CACTI-3DD models “via-first” TSVs that are fabricated before the Si front-end of line (FEOL) device fabrication processing [2]....

    [...]

  • ...Cintrinsic of a single TSV is the series combination of the capacitance in oxide region (Cox) and the capacitance in depletion region (Cdep), which can be derived by solving Poisson’s equation in cylindrical coordinates with a full depletion approximation and is expressed by Equations 5, 6, and 7 as in [2]....

    [...]

  • ...The impact of inductance on delay and power dissipation for clock frequencies at the gigahertz scale can be ignored for the 3D TSVs [2]....

    [...]

  • ...The impact of skin effect on resistance is negligible for clock frequencies at the gigahertz scale [2]....

    [...]

  • ...Cross-section and top-down view of a TSV integrated with via-first and face-to-back process (Figure is based on [2])....

    [...]

References
More filters
01 Mar 2009

14,586 citations

Book
29 Dec 1995
TL;DR: Digital Integrated Circuits as discussed by the authors is a practical book that bridges the gap between the circuit perspective and system perspective of digital integrated circuit design, including the impact of interconnect, design for low power, issues in timing and clocking, design methodologies and the tremendous effect of design automation on the digital design perspective.
Abstract: Progressive in content and form, this practical book successfully bridges the gap between the circuit perspective and system perspective of digital integrated circuit design. Digital Integrated Circuits maintains a consistent, logical flow of subject matter throughout. Addresses today's most significant and compelling industry topics, including: the impact of interconnect, design for low power, issues in timing and clocking, design methodologies, and the tremendous effect of design automation on the digital design perspective. For readers interested in digital circuit design.

1,348 citations

Patent
20 Jun 1986
TL;DR: Digital Integrated Circuits addresses today's most significant and compelling industry topics, including: the impact of interconnect, design for low power, issues in timing and clocking, design methodologies, and the tremendous effect of design automation on the digital design perspective.
Abstract: A digital integrated circuit is described in which the internal registers are organized into a number of serial shift paths to facilitate testing. Each path has a number of modes: USER, HOLD, SHIFT and SELF-TEST modes. These modes are controlled by shifting a control function into a control shift register. When the shifting of the control shift register stops, a command is automatically loaded from the control shift register (or another source) into a command register, which controls the serial shift paths. The provision of a separate command register allows a new control function to be shifted into the control shift register while a preceding command is still active in the command register.

1,116 citations

Journal ArticleDOI
TL;DR: The process steps and design aspects that were developed at IBM to enable the formation of stacked device layers are reviewed, including the descriptions of a glass substrate process to enable through-wafer alignment and a single-damascene patterning and metallization method for the creation of high-aspect-ratio capability.
Abstract: Three-dimensional (3D) integrated circuits (ICs), which contain multiple layers of active devices, have the potential to dramatically enhance chip performance, functionality, and device packing density. They also provide for microchip architecture and may facilitate the integration of heterogeneous materials, devices, and signals. However, before these advantages can be realized, key technology challenges of 3D ICs must be addressed. More specifically, the processes required to build circuits with multiple layers of active devices must be compatible with current state-of-the-art silicon processing technology. These processes must also show manufacturability, i.e., reliability, good yield, maturity, and reasonable cost. To meet these requirements, IBM has introduced a scheme for building 3D ICs based on the layer transfer of functional circuits, and many process and design innovations have been implemented. This paper reviews the process steps and design aspects that were developed at IBM to enable the formation of stacked device layers. Details regarding an optimized layer transfer process are presented, including the descriptions of 1) a glass substrate process to enable through-wafer alignment; 2) oxide fusion bonding and wafer bow compensation methods for improved alignment tolerance during bonding; 3) and a single-damascene patterning and metallization method for the creation of high-aspect-ratio (6:1 108 vias/cm2), and extremely aggressive wafer-to-wafer alignment (submicron) capability.

740 citations


"Electrical Modeling and Characteriz..." refers background in this paper

  • ...The fabrication of 3-D stacked IC (SIC) involves stacking of one or more chips, and the through silicon via (TSV) [2] constitutes a key component for interconnecting chips vertically and forms a cylindrical metal–oxide–semiconductor (MOS) capacitor with the semiconductor substrate acting as the bulk and the TSV metal acting as a gate....

    [...]

Frequently Asked Questions (13)
Q1. What are the contributions mentioned in the paper "Electrical modeling and characterization of through silicon via for three-dimensional ics" ?

In this paper, the RLC parameters of the TSV are modeled as a function of physical parameters and material characteristics. Finally, this paper also proposes a simplified lumped TSV model that can be used to simulate 3-D circuits. 

As expected, lower doping concentrations in-crease the depletion width and hence reduce CTSVMIN, making highly resistive substrate attractive for low-capacitance TSVs. 

The resistance, inductance, and capacitance of a TSV are modeled as a function of physical parameters and material characteristics. 

CTSV is characterized by using the TSV embedded and deembedded structures to eliminate the effect of BEOL parasitic capacitances. 

The dynamic power dissipation CTSVV 2ddf is also reduced by lower TSV capacitance, and therefore, efforts should be made to reduce the TSV capacitance. 

In fact, for lower oxide thickness, the oxide capacitance becomes larger and less significant in the series with the depletion capacitance. 

RTSV and LTSV cause the voltage drop along the interconnected nodes between Metal1 of the top tier and Top Metal of the bottom tier. 

The applied TSV voltage drops across the TSV oxide as well as the silicon substrate, as given inVTSV = Vsi + Vox. (A3)By applying Gauss’s law to the cylindrical MOS system and by considering the work function of the TSV metal in addition to total oxide charges (Qot = Qf + Qm + Qtr), the expression for threshold voltage is derived asVTh = φms − 2πRoxqQot2πεox ln( RoxRMetal)+ 

The depletion capacitance is given byCdep = 2πεsilTSV ln (Rdep Rox)and Rdep is the radial depletion width obtained by solving (A5) for Rdep for a given bias VTSV. 

As in the planer case, a cylindrical TSV system has three distinct regions of operation, namely, accumulation, depletion, and inversion. 

Variations in RTSV values for a given value of CTSV do not change the RO delay significantly but RO delay increases significantly with increasing CTSV. 

First-hand calculations [14] indicate that Cint and Cext are on the order of ∼3 fF and the driving resistance of the inverter is on the order of kiloohms for 0.25-μm technology. 

For high-frequency operation, the depletion width does notincrease beyond Rmax, and hence, the total capacitance is the series combination of oxide capacitance and minimum depletion capacitance, providing minimal CTSV given asCTSVMIN = CoxCdepminCox + CdepminwhereCdepmin = 2πεsilTSV ln (Rmax Rox) .