scispace - formally typeset
Open AccessJournal ArticleDOI

Etch rates for micromachining processing-Part II

Reads0
Chats0
TLDR
In this paper, the etch rates of 53 materials that are used or potentially can be used or in the fabrication of microelectromechanical systems and integrated circuits were prepared.
Abstract
Samples of 53 materials that are used or potentially can be used or in the fabrication of microelectromechanical systems and integrated circuits were prepared: single-crystal silicon with two doping levels, polycrystalline silicon with two doping levels, polycrystalline germanium, polycrystalline SiGe, graphite, fused quartz, Pyrex 7740, nine other preparations of silicon dioxide, four preparations of silicon nitride, sapphire, two preparations of aluminum oxide, aluminum, Al/2%Si, titanium, vanadium, niobium, two preparations of tantalum, two preparations of chromium, Cr on Au, molybdenum, tungsten, nickel, palladium, platinum, copper, silver, gold, 10 Ti/90 W, 80 Ni/20 Cr, TiN, four types of photoresist, resist pen, Parylene-C, and spin-on polyimide. Selected samples were etched in 35 different etches: isotropic silicon etchant, potassium hydroxide, 10:1 HF, 5:1 BHF, Pad Etch 4, hot phosphoric acid, Aluminum Etchant Type A, titanium wet etchant, CR-7 chromium etchant, CR-14 chromium etchant, molybdenum etchant, warm hydrogen peroxide, Copper Etchant Type CE-200, Copper Etchant APS 100, dilute aqua regia, AU-5 gold etchant, Nichrome Etchant TFN, hot sulfuric+phosphoric acids, Piranha, Microstrip 2001, acetone, methanol, isopropanol, xenon difluoride, HF+H/sub 2/O vapor, oxygen plasma, two deep reactive ion etch recipes with two different types of wafer clamping, SF/sub 6/ plasma, SF/sub 6/+O/sub 2/ plasma, CF/sub 4/ plasma, CF/sub 4/+O/sub 2/ plasma, and argon ion milling. The etch rates of 620 combinations of these were measured. The etch rates of thermal oxide in different dilutions of HF and BHF are also reported. Sample preparation and information about the etches is given.

read more

Content maybe subject to copyright    Report

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 12, NO. 6, DECEMBER 2003 761
Etch Rates for Micromachining Processing—Part II
Kirt R. Williams, Senior Member, IEEE, Kishan Gupta, Student Member, IEEE, and Matthew Wasilik
Abstract—Samples of 53 materials that are used or potentially
can be used or in the fabrication of microelectromechanical
systems and integrated circuits were prepared: single-crystal
silicon with two doping levels, polycrystalline silicon with two
doping levels, polycrystalline germanium, polycrystalline SiGe,
graphite, fused quartz, Pyrex 7740, nine other preparations of
silicon dioxide, four preparations of silicon nitride, sapphire,
two preparations of aluminum oxide, aluminum, Al/2%Si, tita-
nium, vanadium, niobium, two preparations of tantalum, two
preparations of chromium, Cr on Au, molybdenum, tungsten,
nickel, palladium, platinum, copper, silver, gold, 10 Ti/90 W, 80
Ni/20 Cr, TiN, four types of photoresist, resist pen, Parylene-C,
and spin-on polyimide. Selected samples were etched in 35
different etches: isotropic silicon etchant, potassium hydroxide,
10:1 HF, 5:1 BHF, Pad Etch 4, hot phosphoric acid, Aluminum
Etchant Type A, titanium wet etchant, CR-7 chromium etchant,
CR-14 chromium etchant, molybdenum etchant, warm hydrogen
peroxide, Copper Etchant Type CE-200, Copper Etchant APS
100, dilute aqua regia, AU-5 gold etchant, Nichrome Etchant
TFN, hot sulfuric
+
phosphoric acids, Piranha, Microstrip 2001,
acetone, methanol, isopropanol, xenon difluoride, HF
+
H
2
O
vapor, oxygen plasma, two deep reactive ion etch recipes with two
different types of wafer clamping, SF
6
plasma, SF
6
+
O
2
plasma,
CF
4
plasma, CF
4
+
O
2
plasma, and argon ion milling. The etch
rates of 620 combinations of these were measured. The etch rates
of thermal oxide in different dilutions of HF and BHF are also
reported. Sample preparation and information about the etches is
given. [1070]
Index Terms—Chemical vapor deposition (CVD), etching, evap-
oration, fabrication, materials processing, micromachining.
I. INTRODUCTION
W
HEN designing a microfabrication process, the etch rate
of each material to be etched must be known. Knowing
the etch rates of other materials that will be exposed to the etch,
such as masking films and underlying layers, enables an etch
process to be chosen for good selectivity (high ratio of etch
rate of the target material to etch rate of the other material)—if
one exists. While several large literature-review compilations
of etches that target specific materials have been made [1], [2],
these only report etch rates in some cases, and rarely have corre-
sponding selectivity information. This paper provides such in-
formation, expanding on an earlier paper [3] to give 620 etch
rates of 53 materials in 35 etches that have been used or may
Manuscript received June 3, 2003; revised October 1, 2003. Subject Editor
A. J. Ricco.
K. R. Williams was with Agilent Laboratories, Agilent Technologies, Palo
Alto, 94303 CA USA. He is currently a private consultant at 185 Willowbrook
Dr., Portola Valley, CA 94028 USA (e-mail: kirt_williams@ieee.org).
K. Gupta was with with Agilent Laboratories, Agilent Technologies, Palo
Alto, CA 94303 USA. He is now at 804 Gregory Ct., Fremont, CA 94359 USA
(e-mail: kishang@ieee.org).
M. Wasilik is with the Berkeley Sensor & Actuator Center, University of Cal-
ifornia at Berkeley, Berkeley, CA 94720-1770 USA.
Digital Object Identifier 10.1109/JMEMS.2003.820936
be used in future fabrication of microelectromechanical systems
(MEMS) and integrated circuits (ICs) (approximately 50 etch
rates measured in the earlier paper have been included in this
one). These data allow the selection of new combinations of
structural material, underlying material, and etchant for micro-
machining.
Table I summarizes the etches tested, abbreviated names for
the etches, and the target materials for each. Table II lists etch
rates of Si,Ge, SiGe, and C in the SI units of nm/min (not
/min
as in the earlier tables) [3]. Table III covers films and wafers that
are primarily silicon dioxide, produced under many different
conditions. Table IV is on silicon nitride and aluminum oxide.
Table V covers the metals Al, Ti, V, Nb, Ta, and Cr. Table VI
continues with the metals Mo, W, Ni, Pd, Pt, Cu, Ag, Au, alloys
10 Ti/90 W, 80 Ni/20 Cr, and compound TiN. Finally, Table VII
gives etch rates of organics: photoresists, a resist pen, and a
spin-on polyimide.
Section II of this paper lists the materials etched, their prepa-
ration, and some uses or potential uses in MEMS and ICs. Sec-
tion III describes the preparation and applications of the wet and
dry etches that were studied, as well as some key experimental
results. Section IV describes etch-rate measurement techniques,
and Section V discusses the results.
II. S
AMPLE PREPARATION
The preparation of the samples in the etch-rate tables is de-
scribed below, listed by the labels (in italics) used across the tops
of the tables. All coated materials were deposited on 100-mm-
diameter silicon wafers. For the isotropic silicon etchant, potas-
sium hydroxide, and a few other etches, the wafers were first
coated with LPCVD silicon nitride so that etches would not pen-
etrate into the silicon or attack the back side of the wafer.
In several cases, similar materials were prepared using dif-
ferent methods (e.g., wafer form, PECVD, LPCVD, and ion-
milled silicon dioxide; annealed and unannealed films) to study
and emphasize the effect on their etching characteristics.
Existing or potential MEMS applications are given for the
materials. Many of the materials were discussed in more detail
previously [3].
A. Silicon, Germanium, SiGe, and Carbon
(100) Si Low-Doped Wafer: Single-crystal silicon, (100) ori-
entation, phosphorus-doped n-type, resistivity of 3–40
-cm,
grown with the Czochralski (CZ method). Single-crystal silicon
is the standard starting material for bulk micromachining.
Float-Zone Si Wafer: Single-crystal silicon, (100) orienta-
tion, undoped, grown with the float-zone (FZ) method for a high
resistivity of
-cm. Float-zone wafers have been used
as substrates in RF MEMS application to reduce eddy-current
loss.
1057-7157/03$17.00 © 2003 IEEE
Authorized licensed use limited to: MONTANA STATE UNIV BOZEMAN. Downloaded on February 5, 2009 at 10:10 from IEEE Xplore. Restrictions apply.

762 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 12, NO. 6, DECEMBER 2003
TABLE I
E
TCH DESCRIPTIONS,ABBREVIATIONS, AND TARGET MATERIALS
Polysilicon LPCVD Undoped: Undoped polycrystalline sil-
icon deposited in a Tylan low-pressure chemical-vapor deposi-
tion (LPCVD) furnace with recipe SiH
sccm,
temperature
, pressure mtorr.
Deposited on a wafer with 100 nm of thermal oxide
on it to enable interferometric thickness measurements.
. Undoped poly, which has
a high sheet resistance as deposited, is the most common
structural material for surface micromachining. It can be doped
with ion implantation or by diffusing in dopant atoms from an
adjacent film (e.g., PSG, below) at high temperature.
Polysilicon LPCVD In-Situ
: An n-type, phosphorus-
doped polycrystalline silicon deposited in a Tylan LPCVD
furnace with recipe
sccm, 1.6% PH /balance
sccm, , mtorr. Deposited
on a wafer with thermal oxide on it to enable interferometric
thickness measurements.
. In situ doping gives a
conducting film, useful for thicker films and in cases in which
other considerationslimit the temperature. The deposition rateis
about
that of undoped polysilicon under similar conditions.
Poly Ge LPCVD Undoped: Undoped polycrystalline germa-
nium deposited in Tystar LPCVD furnace with recipe
Authorized licensed use limited to: MONTANA STATE UNIV BOZEMAN. Downloaded on February 5, 2009 at 10:10 from IEEE Xplore. Restrictions apply.

WILLIAMS et al.: ETCH RATES FOR MICROMACHINING PROCESSING—PART II 763
TABLE II
E
TCH RATES OF Si, Ge, SiGe, AND C (nm/min)
sccm, , mtorr. The polygermanium
deposition was preceded by the deposition of silicon seed layer
approximately 6 nm thick using the recipe
sccm,
, mtorr.
Germanium forms an oxide that is soluble in water. Thus,
water with a high concentration of dissolved oxygen etches ger-
manium. Hydrogen peroxide is a useful etchant for Ge, etching
faster at higher temperature.
Polygermanium has been used in surface micromachining as
a sacrificial layer in conjunction with a polycrystalline SiGe
structural layer, using warm hydrogen peroxide as the etchant
[4]. The relatively low deposition temperatures are compatible
with CMOS circuitry with aluminum interconnections.
Poly SiGe LPCVD
-Type: A p-type polycrystalline silicon-
germanium deposited in a Tystar LPCVD furnace with recipe
sccm, sccm, sccm,
Authorized licensed use limited to: MONTANA STATE UNIV BOZEMAN. Downloaded on February 5, 2009 at 10:10 from IEEE Xplore. Restrictions apply.

764 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 12, NO. 6, DECEMBER 2003
TABLE III
E
TCH RATES OF SILICON DIOXIDE (nm/min)
, mtorr. This film is approximately 48 atomic
% Ge.
Graphite Ion-Milled: Graphite ion-mill-deposited (also
known as ion-beam-deposited) in a Commonwealth Scientific
system from a graphite target with argon ions at 1250 V,
current density of about 2 mA/cm
( mA over most of a
5-inch-diameter target), chamber torr. Graphite
has had little or no use in MEMS to date. In this work, it was
found to be easily deposited and etched in silicon isotropic
etchant. It may find use as a hard mask for plasma etching due
to its low etch rate, and as a dry lubricant in MEMS.
B. Silicon Dioxide
Fused Quartz Wafer: Wafers of General Electric 124 or
NSG N fused quartz source material,
% silicon dioxide,
with amorphous structure (as opposed to true crystalline
quartz). This material is commonly referred to simply as
“quartz.” It is compatible with silicon-wafer processing steps,
and may find application as a substrate in RF MEMS as it is
not conductive, eliminating eddy-current losses.
Pyrex 7740 Wafer: Corning Pyrex 7740 glass, 81% SiO
,
13% B
O ,4%NaO, 2% Al O . Pyrex 7740 (and the very
Authorized licensed use limited to: MONTANA STATE UNIV BOZEMAN. Downloaded on February 5, 2009 at 10:10 from IEEE Xplore. Restrictions apply.

WILLIAMS et al.: ETCH RATES FOR MICROMACHINING PROCESSING—PART II 765
TABLE IV
E
TCH RATES OF SILICON NITRIDE AND ALUMINUM OXIDE (nm/min)
similar Borofloat glass) are used in anodic bonding to silicon
due to the high content of mobile sodium ions and to the good
match of thermal expansion rates. The large amounts of non-
silicon-dioxide “impurities” give it noticeably different etching
characteristics, etching slower in 5:1 BHF, but faster in silicon
isotropic etchant.
Thermal Oxide Wet-Grown: Silicon dioxide grown in a
Tylan atmospheric-pressure furnace with the recipe O
carrier
gas at 200 sccm, H
O vapor at a pressure just below 1 atm (the
water source is at 98
) at 1100 , and a total pressure of 1
atm, followed by a 20-min N
anneal at 1100 . .
Thermal oxide forms a conformal coating on silicon. It is
denser and etches more slowly than chemical-vapor-deposited
oxides.
Ann. LTO LPCVD Calogic: Low-temperature silicon
dioxide (LTO) deposited in a Calogic low-temperature
Authorized licensed use limited to: MONTANA STATE UNIV BOZEMAN. Downloaded on February 5, 2009 at 10:10 from IEEE Xplore. Restrictions apply.

Citations
More filters
Journal ArticleDOI

Silicene field-effect transistors operating at room temperature

TL;DR: A silicene field-effect transistor is reported, corroborating theoretical expectations regarding its ambipolar Dirac charge transport, with a measured room-temperature mobility of ∼100 cm(2) V(-1)‬s(-1), attributed to acoustic phonon-limited transport and grain boundary scattering.
Journal ArticleDOI

Flexible high power-per-weight perovskite solar cells with chromium oxide–metal contacts for improved stability in air

TL;DR: In this paper, a chromium oxide-chromium interlayer was introduced to protect the metal top contacts from reactions with the perovskite, and the use of a transparent polymer electrode treated with dimethylsulphoxide as the bottom layer allowed the deposition from solution at low temperature-of pinhole-free perovsite films at high yield on arbitrary substrates including thin plastic foils.
Journal ArticleDOI

Covalent surface modification of oxide surfaces.

TL;DR: This Review presents one approach to obtain robust surface modifications of the surface of oxides, namely the covalent attachment of monolayers.
Journal ArticleDOI

Plasma etching: Yesterday, today, and tomorrow

TL;DR: The field of plasma etching is reviewed in this paper, where basic principles related to plasma etch such as evaporation rates and Langmuir-Hinshelwood adsorption are introduced.
Journal ArticleDOI

Cantilever-like micromechanical sensors

TL;DR: In this article, the basic modes of operation in cantilever-like micromechanical sensors and optical and electrical means for signal transduction are discussed with focus on silicon-and polymer-based technologies.
References
More filters
Book

CRC Handbook of Chemistry and Physics

TL;DR: CRC handbook of chemistry and physics, CRC Handbook of Chemistry and Physics, CRC handbook as discussed by the authors, CRC Handbook for Chemistry and Physiology, CRC Handbook for Physics,
Journal ArticleDOI

Anisotropic Etching of Crystalline Silicon in Alkaline Solutions I . Orientation Dependence and Behavior of Passivation Layers

TL;DR: In this article, the anisotropic etching behavior of single-crystal silicon and the behavior of and in an ethylenediamine-based solution as well as in aqueous,, and were studied.
Book

Thin Film Processes

TL;DR: In this article, the authors discuss the formation of Inorganic Films by Remote Plasma-Enhanced Chemical-Vapor Deposition (PLVD) and its application in solvent-gel coatings.
Journal ArticleDOI

Etch rates for micromachining processing

TL;DR: The etch rates for 317 combinations of 16 materials (single-crystal silicon, doped, and undoped polysilicon, several types of silicon dioxide, stoichiometric and silicon-rich silicon nitride, aluminum, tungsten, titanium, Ti/W alloy, and two brands of positive photoresist) used in the fabrication of microelectromechanical systems and integrated circuits in 28 wet, plasma, and plasmaless-gas-phase etches (several HF solutions, H/sub 3/PO/sub 4), HNO/sub
Related Papers (5)
Frequently Asked Questions (24)
Q1. Why are nickel-chromium alloys used for heaters?

Due to their inertness at higher temperature and relatively low thermal conductivity, nickel-chromium alloys have been used for heaters. 

The etch rates of thermal oxide in different dilutions of HF and BHF are also reported. 

Other systems might be used, such as a sacrificial layer of Al etched with Aluminum Etchant Type A, and a structural layer of PECVD silicon dioxide, Ti, or Cr. Another possibility is a sacrificial layer of polysilicon or single-crystal silicon etched with XeF, and a structural layer of SiO, Au, or Pt. Many more systems can be deduced from the data. Alternative materials systems for MEMS will be of interest in the future for many reasons: 

Platinum has been used in thin-film heaters, resistive temperature sensors, and as a diffusion-barrier layer in contacts due to its inertness and stability. 

Polygermanium has been used in surface micromachining as a sacrificial layer in conjunction with a polycrystalline SiGe structural layer, using warm hydrogen peroxide as the etchant [4]. 

Tungsten has been used in MEMS as a hot filament in vacuum [7] and as an interconnect material compatible with high-temperature processing. 

Chromium is well known to have good adhesion to silicon and silicon dioxide, and is frequently used as an adhesion layer for less-adhesive metals such as gold. 

Low-stress silicon nitride typicallyhas a tensile residual stress below 50 MPa, allowing its use in micromachining as a freestanding film. 

In situ doping gives a conducting film, useful for thicker films and in cases in which other considerations limit the temperature. 

Continuous exposure to the vapor results in droplet condensation on the wafer after about 25 s at room temperature, with the underlying areas having higher oxide etch rate. 

For surface micromachining, the traditional system of materials and etchant is a structural material of polysilicon, an underlying layer of polysilicon, a sacrificial layer based on silicon dioxide, silicon nitride, or silicon wafer, and an HF-based etchant. 

A small amount of fluorocarbon residue left on the wafer can affect the later formation of electrical contacts if it is not removed. 

While KOH is most often used for ODE of single-crystal silicon (SCS), it can also be used for rapid etching of shallow cavities of any shape, if the exact shape at the perimeter is not of concern. 

Manual vapor etching of oxide is particularly useful in cases where fragile structures cannot tolerate loading into a plasma etcher and where high selectivity is needed. 

Gold reacts with chlorine, bromine, and iodine at elevated temperatures to form the corresponding halides, but reacts only slowly with fluorine [23]. 

In these tests, it very rapidly removed the photoresists and the resist pen, while etching the polyimide at a moderate rate and not etching graphite. 

Certain materials commonly used in micromachining were considered key materials (undoped polysilicon, thermal silicon dioxide, stoichiometric LPCVD silicon nitride, Al/2%Si, chromium, and S1822 photoresist) and were etched in most etchants in order to determine their compatibility. 

The addition of oxygen in this recipe increases the oxide etch rate but also increases the photoresist etch rate, significantly reducing the selectivitiy. 

In these cases, the etches were performed for at least 20 min to give a reasonable step height or interferometric thickness change, if any. 

Restrictions apply.similar materials or from the literature to work, be relatively fast (faster than nm/min), or be slow (slower than nm/min) or have zero etch rate, the notations , or , respectively, are used. 

CF -based etches typically target silicon dioxide, but this etch was found to etch silicon nitride and silicon even faster than oxide. 

For cases in which a silicon wafer is known to etch rapidly (e.g., in silicon isotropic etchant and KOH), the wafers were first coated with LPCVD silicon nitride to protect both sides. 

Electroplated gold, known for having a lower density than the bulk metal, has a significantly higher etch rate in dilute aqua regia. 

This etchant is formulated to etch through a silicon dioxide dielectric layer, with the etch slowing greatly on aluminum pads or contacts.