scispace - formally typeset
Search or ask a question
Proceedings ArticleDOI

Explicit transient thermal simulation of liquid-cooled 3D ICs

TL;DR: This paper presents an efficient model of the transient thermal behaviour of liquid-cooled 3D ICs that is 60 times faster and uses 600 times less memory than state-of-the-art models, while maintaining the same level of accuracy.
Abstract: The high heat flux and compact structure of three-dimensional circuits (3D ICs) make conventional air-cooled devices more subsceptible to overheating. Liquid cooling is an alternative that can improve heat dissipation, and reduce thermal issues. Fast and accurate thermal models are needed to appropriately dimension the cooling system at design time. Several models have been proposed to study different designs, but generally with low simulation performance. In this paper, we present an efficient model of the transient thermal behaviour of liquid-cooled 3D ICs. In our experiments, our approach is 60 times faster and uses 600 times less memory than state-of-the-art models, while maintaining the same level of accuracy.
Citations
More filters
Proceedings ArticleDOI
24 Mar 2014
TL;DR: This work introduces an efficient algorithm based on the Finite Difference Method to compute the transient temperature in liquid-cooled 3D ICs, showing a 5x speedup versus state-of-the-art models, while maintaining the same level of accuracy.
Abstract: Three-dimensional integrated circuits (3D ICs) with advanced cooling systems are emerging as a viable solution for many-core platforms. These architectures generate a high and rapidly changing thermal flux. Their design requires accurate transient thermal models. Several models have been proposed, either with limited capabilities, or poor simulation performance. This work introduces an efficient algorithm based on the Finite Difference Method to compute the transient temperature in liquid-cooled 3D ICs. Our experiments show a 5x speedup versus state-of-the-art models, while maintaining the same level of accuracy, and demonstrate the effect of large through silicon vias arrays on thermal dissipation.

23 citations


Cites methods from "Explicit transient thermal simulati..."

  • ...proposed another lineartime algorithm [7] based on the forward Euler method....

    [...]

Journal ArticleDOI
TL;DR: A survey of the package level thermal simulation techniques developed over the past two decades is presented to help new researchers entering the field to quickly familiarize themselves with the state of the art and enable existing researchers to further improve upon their proposed techniques.
Abstract: Thermal modeling and simulation have become imperative in recent years owing to the increased power density of high performance microprocessors. Temperature is a first-order design criteria, and hence special consideration has to be given to it in every stage of the design process. If not properly accounted for, temperature can have disastrous effects on the performance of the chip, often leading to failure. To streamline research efforts, there is a strong need for a comprehensive survey of the techniques and tools available for thermal simulation. This will help new researchers entering the field to quickly familiarize themselves with the state of the art and enable existing researchers to further improve upon their proposed techniques. In this article, we present a survey of the package level thermal simulation techniques developed over the past two decades.

19 citations


Cites background from "Explicit transient thermal simulati..."

  • ...In Reference [47], the authors enable parameterized modeling by building response surface models (RSM) for each time point and each parameter variable....

    [...]

  • ...Qian and Sapatnekar [65] note that a major problem with the technique proposed by Reference [96] is that a practical pyramidal chip-spreader-sink structure cannot be modeled....

    [...]

  • ...A brief overview of the thermal issues and techniques to deal with them was described in Reference [62]....

    [...]

  • ...CCS Concepts: • Hardware → Temperature simulation and estimation; 3D integrated circuits; Chip-level power issues; Modeling and parameter extraction; Additional Key Words and Phrases: Thermal simulation, finite element, finite difference, Green’s function, machine learning, 2D chips, 3D chips, microchannels, leakage, Fourier equation, Boltzmann equation ACM Reference format: Hameedah Sultan, Anjali Chauhan, and Smruti R. Sarangi....

    [...]

  • ...In Reference [21], the authors try to reduce the splitting error....

    [...]

Journal ArticleDOI
TL;DR: The Manchester Thermal Analyzer is a comprehensive tool that allows for fast and highly accurate linear and nonlinear thermal simulations of complex physical structures including the IC, the package, and the heatsink, and surpasses the computational capabilities and performance of existing academic thermal simulators.
Abstract: Fast and accurate thermal analysis is crucial for determining the propagation of heat and tracking the formation of hotspots in integrated circuits (ICs). Existing academic thermal analysis tools primarily use compact models to accelerate thermal simulations but are limited to linear problems on relatively simple circuit geometries. The Manchester Thermal Analyzer (MTA) is a comprehensive tool that allows for fast and highly accurate linear and nonlinear thermal simulations of complex physical structures including the IC, the package, and the heatsink. The MTA is targeted for 2.5/3-D IC designs but also handles standard planar ICs. The MTA discretizes the heat equation in space using the finite element method and performs the time integration with unconditionally stable implicit time stepping methods. To improve the computational efficiency without sacrificing accuracy, the MTA features adaptive spatiotemporal refinement. The large-scale linear systems that arise during the simulation are solved with fast preconditioned Krylov subspace methods. The MTA supports thermal analysis of realistic integrated systems and surpasses the computational capabilities and performance of existing academic thermal simulators. For example, the simulation of a processor in a package attached to a heat sink, modeled by a computational grid consisting of over 3 million nodes, takes less than 3 min. The MTA is fully parallel and publicly available. 1

15 citations


Cites methods from "Explicit transient thermal simulati..."

  • ...The time integration methods are either explicit [10], [11], [16], semi-implicit [12], or low order...

    [...]

Journal ArticleDOI
TL;DR: In this article, the authors present an approach with extremely low memory usage and advanced numerical methods to efficiently compute the transient temperature of 3-D integrated circuits (3-D ICs).
Abstract: The 3-D integrated circuits (3-D ICs) are emerging as a viable solution to enhance the performance of many-core platforms. These architectures generate a high and rapidly changing thermal flux that makes conventional air-cooled devices more susceptible to overheating. Liquid cooling is an alternative that can improve dissipation and reduce thermal issues. Fast and accurate thermal models are needed to appropriately dimension the cooling system at design time. Several models have been proposed to study different designs, but generally with low simulation performance. In this paper, we present an efficient model of the transient thermal behavior of liquid-cooled 3-D ICs. This paper presents an approach with extremely low memory usage and advanced numerical methods to efficiently compute the transient temperature of 3-D ICs. Our experiments show the $100\times $ speedup versus the state-of-the-art models, while maintaining the same level of accuracy, and demonstrate the efficiency of liquid cooling to remove the heat from 3-D many-core platforms.

8 citations


Cites methods from "Explicit transient thermal simulati..."

  • ...The OpSplit method [6] is an implicit method, which can be used to solve (9)....

    [...]

  • ...3) The comparison of two high-performance numerical solvers [5], [6] suitable for our model....

    [...]

  • ...In this paper, we build on our previous works [5], [6] and present a highly accurate model, which is orders of magnitude faster than the current state of the art for a typical 3-D IC layout....

    [...]

Journal ArticleDOI
Hengliang Zhu1, Feng Hu1, Hao Zhou1, David Z. Pan1, Dian Zhou1, Xuan Zeng1 
TL;DR: Experimental results have shown that the proposed optimization approach can produce optimal interlayer cooling networks with 11.3% cooling energy savings and 49.4% pumping pressure savings in comparison with the first place winner of 2015 computer-aided design contest at International Conference on Computer-Aided Design.
Abstract: Interlayer liquid cooling network has been considered as one of the effective cooling mechanisms for heat dissipation in three dimensional integrated circuits (3D ICs). In this paper, an optimization approach is proposed for 3D IC interlayer cooling network design with consideration of cooling energy minimization and thermal constraints. First, a channel patterning technique is proposed which adopts straight-channel patterns and corner-barrier patterns to efficiently reduce the temperature of hotspots in the chip. Second, an iterative channel pruning process is proposed for cooling network optimization that reduces the temperature gradient and cooling energy. Furthermore, algebraic multigrid-preconditioned generalized conjugate residual solver is applied for thermal simulation in this optimization process to achieve better computational efficiency. Experimental results have shown that the proposed optimization approach can produce optimal interlayer cooling networks with 11.3%–61.3% cooling energy savings and 49.3%–59.4% pumping pressure savings in comparison with the first place winner of 2015 computer-aided design contest at International Conference on Computer-Aided Design.

5 citations


Cites background from "Explicit transient thermal simulati..."

  • ...Conductive heat transfer usually refers to the conductive heat flux when the temperatures at two adjacent cells are different, convective heat transfer is the heat transfer between the silicon wall and the liquid, and advective heat transfer is the heat carried away by the fluid’s bulk motion [21]....

    [...]

References
More filters
Journal ArticleDOI
TL;DR: Intended for introductory courses in numerical analysis, this book features a comprehensive treatment of major topics in this subject area using an algorithmic approach and provides numerous worked examples with computer output, and flowcharts and programs.

1,154 citations


"Explicit transient thermal simulati..." refers background or methods in this paper

  • ...However, the Euler method has to satisfy a stability criterion to ensure the local truncation error introduced by the FDM approximation will not grow inde.nitely as the simulation proceeds....

    [...]

  • ...Finite Difference Methods (FDMs): Finite Difference Methods use discrete approximations to replace continuous derivatives in Equation (4) by .nite differences that can be more easily computed....

    [...]

  • ...Stability is achieved if all the complex eigenvalues of matrix M are within a circle of radius 1 centered at −1 [2]....

    [...]

  • ...Our approach to derive a fast and accurate thermal model for liquid-cooled 3D ICs is based on the Euler FDM [2]....

    [...]

  • ...I V. PRO P O S E D M E T H O D O L O G Y Our approach to derive a fast and accurate thermal model for liquid-cooled 3D ICs is based on the Euler FDM [2]....

    [...]

Book
01 Mar 1980
TL;DR: In this article, a comprehensive treatment of major topics in numerical analysis is presented, using an algorithmic approach, providing numerous worked examples with computer output, and flowcharts and programs.
Abstract: From the Publisher: Intended for introductory courses in numerical analysis,this book features a comprehensive treatment of major topics in this subject area. Using an algorithmic approach,it provides numerous worked examples with computer output,and flowcharts and programs.

1,071 citations

Book
19 Apr 2007
TL;DR: This chapter discusses the properties of thermal properties of solids and the size effect, as well as some of the principles of statistical Thermodynamics and quantum theory.
Abstract: Preface List of Symbols Chapter 1: Introduction Chapter 2: Overview of Macroscopic Thermal Sciences Chapter 3: Elements of Statistical Thermodynamics and Quantum Theory Chapter 4: Kinetic Theory and Micro/Nanofluidics Chapter 5: Thermal Properties of Solids and the Size Effect Chapter 6: Electron and Phonon Transport Chapter 7: Nonequilibrium Energy Transfer in Nanostructures Chapter 8: Fundamentals of Thermal Radiation Chapter 9: Radiative Properties of Nanomaterials Chapter 10: Near-Field Energy Transfer Appendix A: PHYSICAL CONSTANTS, CONVERSION FACTORS, AND SI PREFIXES APPENDIX B: MATHEMATICAL BACKGROUND INDEX

804 citations


"Explicit transient thermal simulati..." refers background in this paper

  • ...Conductive heat flux qcd in a homogeneous medium with thermal conductivity k, can be described by Fourier’s law [14]....

    [...]

Journal ArticleDOI
TL;DR: A multi-core processor that integrates 48 cores, 4 DDR3 memory channels, and a voltage regulator controller in a 64 2D-mesh network-on-chip architecture that uses message passing while exploiting 384 KB of on-die shared memory for fine grain power management.
Abstract: This paper describes a multi-core processor that integrates 48 cores, 4 DDR3 memory channels, and a voltage regulator controller in a 64 2D-mesh network-on-chip architecture. Located at each mesh node is a five-port virtual cut-through packet-switched router shared between two IA-32 cores. Core-to-core communication uses message passing while exploiting 384 KB of on-die shared memory. Fine grain power management takes advantage of 8 voltage and 28 frequency islands to allow independent DVFS of cores and mesh. At the nominal 1.1 V supply, the cores operate at 1 GHz while the 2D-mesh operates at 2 GHz. As performance and voltage scales, the processor dissipates between 25 W and 125 W. The processor is implemented in 45 nm Hi-K CMOS and has 1.3 billion transistors.

415 citations


"Explicit transient thermal simulati..." refers background or methods in this paper

  • ...5: Power dissipation used to simulate the target structure SCC provides .ne-grained DVFS, with ten microseconds time intervals [6], [11]....

    [...]

  • ...4: Layout of the target structure the .oorplan for dies 0 and 2 (see Figure 4b) are based on the speci.cations of Intel s Single-Chip Cloud Computer (SCC) [6] a 24-tile, 48-core IA-32 45nm processor with a maximum power dissipation of 125W....

    [...]

  • ...SCC provides fine-grained DVFS, with ten microseconds time intervals [6], [11]....

    [...]

  • ...• the floorplan for dies 0 and 2 (see Figure 4b) are based on the specifications of Intel’s Single-Chip Cloud Computer (SCC) [6] a 24-tile, 48-core IA-32 45nm processor with a maximum power dissipation of 125W....

    [...]

  • ...The power values for each unit were extracted from the SCC s speci.cations....

    [...]

Proceedings ArticleDOI
07 Nov 2010
TL;DR: 3D-ICE, a compact transient thermal model (CTTM) for the thermal simulation of 3D ICs with multiple inter-tier microchannel liquid cooling, is presented, which offers significant speed-up over a typical commercial computational fluid dynamics simulation tool while preserving accuracy.
Abstract: Three dimensional stacked integrated circuits (3D ICs) are extremely attractive for overcoming the barriers in interconnect scaling, offering an opportunity to continue the CMOS performance trends for the next decade. However, from a thermal perspective, vertical integration of high-performance ICs in the form of 3D stacks is highly demanding since the effective areal heat dissipation increases with number of dies (with hotspot heat fluxes up to 250W/cm2) generating high chip temperatures. In this context, inter-tier integrated microchannel cooling is a promising and scalable solution for high heat flux removal. A robust design of a 3D IC and its subsequent thermal management depend heavily upon accurate modeling of the effects of liquid cooling on the thermal behavior of the IC during the early stages of design. In this paper we present 3D-ICE, a compact transient thermal model (CTTM) for the thermal simulation of 3D ICs with multiple inter-tier microchannel liquid cooling. The proposed model is compatible with existing thermal CAD tools for ICs, and offers significant speed-up (up to 975x) over a typical commercial computational fluid dynamics simulation tool while preserving accuracy (i.e., maximum temperature error of 3.4%). In addition, a thermal simulator has been built based on 3D-ICE, which is capable of running in parallel on multicore architectures, offering further savings in simulation time and demonstrating efficient parallelization of the proposed approach.

296 citations


"Explicit transient thermal simulati..." refers background or methods in this paper

  • ...The 3D-ICE simulation library [12] allows to compute the transient temperature using the backward Euler method....

    [...]

  • ...Several methods have been proposed to build thermal models of liquid-cooled 3D ICs [8], [9], [3], [12], [4], [13]....

    [...]

  • ...Current models deal with the complexity of transient temperature evaluation with high computational load, memory use, and long simulation times [9], [12], [13], or low accuracy [4]....

    [...]

  • ...This result is mainly due to the fact that our model is highly parallel while 3DICE is based on a solver with an inherently limited parallelism [12]....

    [...]

  • ...To validate the proposed model and determine its performance we compare against the state-of-the-art 3D-ICE [12], a freely distributed tool....

    [...]