scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Gas-assisted focused electron beam and ion beam processing and fabrication

11 Aug 2008-Journal of Vacuum Science & Technology B (American Vacuum Society)-Vol. 26, Iss: 4, pp 1197-1276
TL;DR: A review of the state of the art and level of understanding of direct ion and electron beam fabrication and point out some of the unsolved problems can be found in this article, where the authors also discuss structures that are made for research purposes or for demonstration of the processing capabilities.
Abstract: Beams of electrons and ions are now fairly routinely focused to dimensions in the nanometer range. Since the beams can be used to locally alter material at the point where they are incident on a surface, they represent direct nanofabrication tools. The authors will focus here on direct fabrication rather than lithography, which is indirect in that it uses the intermediary of resist. In the case of both ions and electrons, material addition or removal can be achieved using precursor gases. In addition ions can also alter material by sputtering (milling), by damage, or by implantation. Many material removal and deposition processes employing precursor gases have been developed for numerous practical applications, such as mask repair, circuit restructuring and repair, and sample sectioning. The authors will also discuss structures that are made for research purposes or for demonstration of the processing capabilities. In many cases the minimum dimensions at which these processes can be realized are considerably larger than the beam diameters. The atomic level mechanisms responsible for the precursor gas activation have not been studied in detail in many cases. The authors will review the state of the art and level of understanding of direct ion and electron beam fabrication and point out some of the unsolved problems.

Summary (21 min read)

Jump to: [Introduction][III. FEB AND FIB CONTINUUM MODELS. . . . . . . . 1222][A. Electron and ion beams][B. Electron and ion beam properties][1. Electron beams][2. Focused ion beams][C. Characteristics of incident primary electron and ion beams][OF GAS-ASSISTED FEB AND FIB DEPOSITION AND ETCHING][A. Nonlocal surface effects due to electron and ion interactions][1. Interaction mechanisms][2. Energy spectra of emitted electrons and of activated surface atoms][3. Radial density distribution of surface interactions][B. Electron interaction with molecules][1. Electron interaction with gas phase molecules][2. Electron interaction with adsorbed molecules][3. Electron stimulated desorption][4. Physical sputtering due to electrons][1. Ion interaction with adsorbed molecules][2. Physical sputtering due to ions][1. Molecule flux in chambers and at tube exit][2. Spatial distribution of molecule flux][3. Shadow effects][4. Gas phase related processes][1. Adsorption and desorption][2. Surface diffusion][3. Electrostatic field mediated phenomena][F. FEB/FIB heating][1. Plane bulk geometry][2. Pillar geometry][3. Membranes][III. FEB AND FIB CONTINUUM MODELS][A. Steady state solutions][D. Parameter determination from raster scan exposures][E. Conditions for the electron- or ion-limited regime][F. Models accounting for several species of adsorbates][IV. MONTE CARLO MODELS FOR GAS ASSISTED][A. Monte Carlo models without precursor dynamics][B. Monte Carlo models with precursor dynamics][V. PRECURSOR MOLECULES][1. The role of residual molecules in microscope chambers][2. Precursor stability][3. Vapor pressure and evaporation enthalpy][B. Complexes for deposition][1. Organic compounds for C deposition][2. Hydrides][3. Halides][4. Carbonyls][5. Pure phosphines and halogenophosphines][6. Organometallics][7. Acetylacetonates][8. Alkoxides, nitrates, and amides][9. Precursors for oxide deposition „dielectrics…][10. Postdeposition treatments][C. Precursors and additional reactive gases][1. Metals, metal oxides, and metal nitrides][2. Pure silicon dioxide „SiO2…][1. FEB gas-assisted etching][2. FIB gas enhanced etching][E. Nonvolatile compounds][OF DEPOSITS][2. Monitoring of sample current and secondary electron signal][4. In situ electrical resistance measurements][5. In situ observation studies][B. Composition and substructure][C. SEM integrated mechanical measurements][VII. APPLICATION FIELDS IN RESEARCH][A. Repair of photomasks][B. Scanning probe sensors][C. Circuit editing][E. Micro- and nanoelectronics][1. Insulators and resistors][2. Electrical contacts][3. Laboratory prototype devices][F. Field emitters][G. Mask fabrication for pattern transfer][H. Mechanical applications][I. Biorelated applications][A. FEB versus FIB][B. Process regimes][C. Precursor molecules and deposit purity][D. Fragmentation channels and reaction paths][E. Resolution][F. Models][G. Fundamental issues][1. Helium ion beam][2. Projection maskless patterning] and [ACKNOWLEDGMENTS]

Introduction

  • Since the beams can be used to locally alter material at the point where they are incident on a surface, they represent direct nanofabrication tools.
  • In the case of both ions and electrons, material addition or removal can be achieved using precursor gases.
  • The authors will also discuss structures that are made for research purposes or for demonstration of the processing capabilities.

III. FEB AND FIB CONTINUUM MODELS. . . . . . . . 1222

  • An enormous engineering effort over the past half century has resulted in the ability to “print” complex integrated circuits with nanometer dimensions cheaply and over large areas, albeit in factories that cost $2 billion.
  • The authors will consider two “nonplanar” processes of surface alteration: focused electron beams and focused ion beams.

A. Electron and ion beams

  • Focused electron and focused ion beams can have diameters below 10 nm and occupy a range between individual atom manipulation with scanning tunneling microscope probes and laser beams, both in dimensions and writing speed.
  • They also have commonalities in how they are generated and used.
  • Anyone using a scanning electron microscope SEM has probably observed that the surface becomes contaminated with a film during exposure to the beam.
  • In research various other applications have been demonstrated, such as device fabrication by direct, maskless implantation, local damage, and high resolution secondary ion mass spectrometry SIMS .
  • The comparison of gas-assisted ion and electron beam processing also at a technological level was given in Ref. 36.

B. Electron and ion beam properties

  • The sophisticated charged particle generation and manipulation apparatus in the electron and ion columns has been developed over many decades.
  • The authors will not review this development here but rather concentrate on the properties of the beams incident on the samples, such as beam diameter, beam manipulation, current density, and energy.
  • These parameters play a key role in the material removal, deposition, and alteration processes, which are their main focus.

1. Electron beams

  • The systems used to produce an incident electron beam of nanometer dimensions on a surface can be considered in three categories: SEMs, electron beam lithography systems, and scanning transmission electron microscopes STEMs .
  • SEM’s and electron beam lithography systems are in principle similar but have some different performance requirements.
  • They are too expensive and are usually dedicated to only resist exposure.
  • The numbers quoted are very a on electronic noise and vibration.

2. Focused ion beams

  • The FIB apparatus externally is very similar to the electron beam apparatus.
  • A focused ion beam system can be regarded as composed of three parts: the source, the ion optical column, and the stage and beam control.
  • The ion species used almost exclusively in the applications the authors are considering here is Ga+.
  • Current density A /cm2 Remarks 2000 Beam steering and blanking needs to be added for all SEMs.
  • The former, although brighter than the liquid metal, has to operate at cryogenic temperatures and is hard to use.

C. Characteristics of incident primary electron and ion beams

  • The total beam current and t angle, but the current density remains constant over much of the range.
  • Thus the beam profile corresponding to the experiment should be measured with the above mentioned methods.
  • Figure 3 a shows beam current versus beam diameter curves for a state of the art dual beam machine with field emission gun and liquid metal Ga ion source and for a tungsten filament SEM.
  • The beam current defines the average time between the arrivals of two subsequent incident particles e0 / Ip ; for 1 nA FIG.

OF GAS-ASSISTED FEB AND FIB DEPOSITION AND ETCHING

  • Conceptually, etching and deposition induced by FIB and FEB is considered as a reaction with surface adsorbed molecules having second order kinetics.
  • The proportionality implies that any other possible elementary intermediate reactions leading to the final dissociation product occur on a time scale that is fast compared to the time of two successive charged particle impacts.
  • Symbols represent measured values from average incident particle flux.
  • As shown in Fig. 5, for the FIB deposition and etching rate an additional physical sputter term must be taken into account.
  • The next conceptual point is a differential adsorption rate equation describing the behavior and surface density of molecules.

A. Nonlocal surface effects due to electron and ion interactions

  • Besides the direct interaction with the beam, the dissociation of surface adsorbed molecules involves substrate medi- FIG.
  • The nonsputtered dislo surface below the curve, E 4.7 eV .
  • These nonlocal effects for FEB are due to the secondary and backscattered electrons, whereas for FIB the effects are due to excited surface atoms see Fig. 6 .

1. Interaction mechanisms

  • Secondary electrons SEs are produced between inelastic collisions of weakly bound outer shell valence electrons of semiconductors and insulators or weakly bound conduction band electrons of metals with the PEs.
  • For gas-assisted FIB processing, it was shown experimentally that the chemical deposition yield is linearly proportional to the number of surface atoms excited by the collision cascades.
  • To attribute magnitude of the dissociation yield to the secondary electrons emitted due to the ion incidence reported in this work, the yield per secondary electron from ions would have to be 23 times higher than the yield for secondary electrons emitted due to electron bombardment.
  • In the case of silver, the secondary electron yield for 10 keV xenon ions is about four to five times lower depending on crystalline orientation than for 10 keV neon ions.
  • 69 Since both sputter rate and ion induced deposition rate also correlate with the stopping power,57 the gas-assisted ion etching mechanism is also likely to be explained by the same collision cascade model.

2. Energy spectra of emitted electrons and of activated surface atoms

  • Electrons incident on a substrate generate secondary electrons.
  • Experimentally, for clean metal surfaces the peak energy was shown to vary between 1 and 5 eV and the energy FWHM within 3–15 eV.70.
  • It must be critically noted that agreement with secondary electron yields does not mean that the spectrum is correctly simulated.
  • For yields of secondary and back scattered electrons with specific targets and e-beam energies, the authors refer the reader to a comprehensive database.78.
  • Ions incident on a substrate generate among others excited surface atoms.

3. Radial density distribution of surface interactions

  • For both electron beam and ion beam induced processes, the minimum dimensions of the structures that can be produced are larger than the incident beam diameters.
  • 86,87 The radial density of secondary electrons is one to two orders of magnitude larger than backscattered elec- J. Vac. Sci. Technol.
  • Note that the long tails of the distributions are not generated when using membrane substrates.
  • 56 Convolution of curves in Fig. 8 with an incident beam distribution gives the real emitted radial distribution.
  • From Table IV and Eq. 2.3 follows that the size of the excited surface atom distribution is in the sub-10-nm range; however, to their knowledge this resolution has not yet been experimentally verified by gas-assisted FIB experiments.

B. Electron interaction with molecules

  • There are numerous interaction mechanisms during electron impact on molecules, such as dissociation, stimulated desorption, polymerization, and sputtering.
  • For most mechanisms further submechanisms can be identified.
  • The first approach is to determine one constant deposition or etch cross section from FEB or FIB experiments at a given incident energy.
  • Thereby the wealth of irradiation induced processes due to the emitted energy spectrum is condensed into one measurable physical parameter.
  • In this section the authors briefly review energy dependencies of cross sections from gas phase measurements and adsorbed molecules.

1. Electron interaction with gas phase molecules

  • The electronically excited dissociation of a few halogenated etch gas molecules relevant in semiconductor plasma processing by electron impact was reviewed by Christophorou and Olthoff.93 Figure 9 shows three dissociation mechanisms for a CF4 gas phase molecule: a The dissociative electron attachment is a resonant interaction in an energy window close to the emitted secondary electron intensity peak.
  • It has its peak value when the electron energy matches the energy of the lowest unoccupied molecular orbital.
  • The cross section for direct dissociation into ions has a shape with threshold energy at 10 eV corresponding to the ionization energy.
  • B, Vol. 26, No. 4, Jul/Aug 2008 positions of all nonvolatile species from the mass spectrum weighted by their respective relative abundances.
  • The metal content is close to experimental findings at low beam currents and lowaspect-ratio deposits where beam heating effects can be excluded.

2. Electron interaction with adsorbed molecules

  • A major difference that distinguishes electron irradiation processes at surfaces from gas phase dissociative processes is that the underlying material provides additional channels for electronic relaxation that are not available in the gas phase.
  • The differences with the experiment are obvious and can be probably attributed to the fact that the dominant channel for deposition is a polymerization reaction and not only dissociation into ions.
  • The use of hydrogenated silicon as a prototype for resistless e-beam lithography with linewidths below 60 nm was demonstrated.

3. Electron stimulated desorption

  • Bonds of surface species are excited and can result in desorption of ions, neutrals, or vibrationally excited metastable species from the surface.
  • The related models describing electron stimulated desorption are the Knotek–Feibelman model116,117 for ionic substrates and the Menzel–Gomer–Redhead model for covalent adsorbates.
  • Halides lose halogen during electron bombardment and have been shown to work as high resolution inorganic resists.
  • In fact, the dissociation process very probably leads to complex surface situations with ligands partially fragmented or intact ligands adsorbed on partially decomposed surfaces.
  • Thus the authors think that at best trends can be identified from above surface science experiments.

4. Physical sputtering due to electrons

  • The momentum transfer involved in this high-angle collision is mainly in the incident direction.
  • The latter two effects are exploited in FIB applications.
  • On the other hand if xenon difluoride is absorbed on, say, silicon, then the fluorine will react with the substrate and cause it to be removed as SiF4 or other gaseous species.

1. Ion interaction with adsorbed molecules

  • For ion-impact dissociation an energy dependence of the cross section was proposed by Dubner57 based on a simple kinetic energy transfer model between an excited surface atom, of mass mESA, and the atoms of the adsorbed molecule.
  • The total cross section for the molecule is obtained by summing the individual cross sections of the constituting atoms according to their stoichiometry and their relative area covered by each atom in the molecule see Fig. 12 .
  • The value 0 is asymptotically reached with increasing energy of the excited surface atom 1211 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1211 and represents a constant for a given incident energy.
  • The authors note here the analogy with the cross section for electron sputtering in Eq. 2.5 .
  • The postulated atom-atom collision is surely oversimplified but good agreement with experimental yield measurements was found.

2. Physical sputtering due to ions

  • Of all of the processes the authors are discussing, focused ion beam milling is probably the one most widely used.
  • Molecular flow where no intramolecular collisions occur is obtained when the MFP is larger than the diameter of the gas injection tube, i.e., the Knudsen number Kn 1.

1. Molecule flux in chambers and at tube exit

  • The uniform molecular flux in units of molecules per unit area and unit time incident on a surface placed in a chamber ule diameters m of selected precursors.
  • Equation 2.9 is equivalent to the well known expression for Knudsen effusion.
  • The molecule flux at the tube exits is easily measurable via changes in evaporated mass m solid precursor or volume V liquid precursor during the injection period t, J = m t NA M 1 Aexit , 2.10 where Aexit is the tube exit surface.
  • Using the pump speed S volume per unit time and assuming zero loss due to condensation at the chamber wall, the molecule flux at the tube exit can be calculated as J = PchamberNA 1 Aexit S RT .
  • The factor 4D / 3L is the transmission probability of long tubes and defines the pressure drop between the tube entry at pressure P and the tube exit.

2. Spatial distribution of molecule flux

  • The impinging precursor flux distributions of FEB and FIB relevant gas injection systems and precursors were experimentally determined from deposit shapes obtained by using a heating stage in an electron microscope, which allowed us to thermally decompose all impinging precursor molecules on the substrate.
  • Good agreement was found with MC-simulated molecule distributions see Fig. 17 b .
  • The distribution of water molecules was measured on a cryocooled substrate inside a dual beam system.
  • Smaller tube angles distribute the molecules over a larger area and result in smaller fluxes, whereas larger tube angles prohibit the FEB and FIB access to the peak of the flux distribution.

3. Shadow effects

  • Shadow effects arise inevitably when the precursor flux is directed and the deposit or etch features become a highaspect ratio.
  • The deposition rate is higher when the electron beam is scanned toward the flux, and lower when scanned with the flux as illustrated in Fig. 19.
  • The contributions of precursor molecules in shadowed regions are supposed to mainly arise from local molecule gas phase collisions, from molecule desorption from surfaces next to the growing deposit, and, to a minor extent, from surface diffusion.

1. Adsorption and desorption

  • The reversible physisorption is often a weak, dipole induced dipole interaction, while chemisorption implies the formation of a chemical bond to the surface and is typically about 1 eV about 100 kJ /mol strong and nonreversible except when the reaction product is volatile=spontaneous etching .
  • The dynamic equilibrium between physisorption adsorption and desorption of gas molecules leads to an average constant molecule coverage on the surface.
  • Under this condition no steady state surface concentration will be achieved but a constantly growing film of condensed precursor.
  • The residence time and the sticking probability of molecules on irradiated materials and deposits are generally unknown parameters.

2. Surface diffusion

  • Generally in discussing gas-assisted focused ion beam or electron beam processes, one frequently assumes that only adsorption and desorption play a role in the surface coverage by the reacting gas.
  • From experiments there is a reason to believe that surface diffusion can also play a significant role.
  • For a review on more measurement methods, see Ref. 193.
  • In surface diffusion of adsorbed gases on metal surfaces, it is stated that diffusion at low coverages can be considered as random motion, whereas at large coverages lateral interactions with neighboring adsorbates make diffusion a collective process.
  • The major differences to surface diffusion in gas-assisted FEB and FIB processing is that molecules are physisorbed and that the underlying deposited material has no defined periodic surface potential.

3. Electrostatic field mediated phenomena

  • Due to local electrical charging of insulating material irradiated with focused ion or electron beams, considerable electrostatic fields can be generated.
  • Various electrostatic field enhanced phenomena are reported.
  • Molecules can be polarized in strong fields and are consequently attracted along the field gradient, also known as 2 Polarization of molecules.

F. FEB/FIB heating

  • Heat is generated in FEB and FIB processing by the energy loss of incident electrons or ions along their trajectories in matter.
  • The major problem is that the thermal conductivity is unknown for FEB or FIB deposited material, unless pure material is deposited.
  • For planar bulk, pillar, and membrane geometry, the heat source term can be approximated and analytic estimates of the temperature rise given.
  • This value represents an overestimate since the primary beam generates a cascade of additional secondary charged particles in matter.

1. Plane bulk geometry

  • The temperature increase T at the center of an irradiated sample surface can be estimated by assuming that the heat of the primary beam is homogeneously dissipated inside a hemisphere of radius RH being equivalent to half the range of J. Vac. Sci. Technol.
  • The impinging beam diameter is assumed smaller than the dimension of the excitation volume.
  • For electron irradiation represents the backscattered electron yield.
  • The temperature increase using beam currents in the microampere range is in the order of 100 °C according to the substrate used.
  • For analytical solutions of temperature profiles in planar layered structures, the authors refer to Ref. 207.

2. Pillar geometry

  • The local temperature rise T at the apex of a cylindrical pillar with length Ldep, diameter Ddep, and heat conductivity dep connected to a heat sink at fixed room temperature can be estimated by45 Tpillar = dEds s IPe0 4Ldep depDdep2 .
  • It 1221 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1221 is a good estimate that can be readily applied to pillars and freestanding rods.
  • If the pillar is smaller than the cone length, part of the trajectories still penetrates into the bulk substrate; the average pillar trajectory path becomes s Ldep and thus Tpillar const Ldep 2 .
  • Generally, the lower the incident electron energy, the higher is the stopping power and the smaller is the electron range interaction volume .
  • Thus the heat source is concentrated in the pillar apex and leads to higher temperatures for smaller incident electron and ion energies.

3. Membranes

  • Heat dissipates in two dimensions inside the membrane to the heat sink.
  • In this case the temperature increase becomes independent of membrane thickness and stays relatively low.
  • When depositing pillars on membranes, the average trajectory path increases to the vertical size of the deposit and s=Ldep.
  • Also the energy loss dE /ds of the deposit material should be used.
  • This can explain experimental observations that pure iron nanocrystals215,216 and gold nanocrystals217 were found as a result of FEB induced deposition on membranes using Fe CO 5 and Me2Au acac as precursors, respectively.

III. FEB AND FIB CONTINUUM MODELS

  • What is generally of most practical interest is how much material is removed or how much material is deposited under given circumstances.
  • Since deposition or etch rates can be measured straightforwardly, FEB and FIB continuum models generally attempt to determine the unknown parameters entering into Eqs. 2.1a , 2.1b , and 2.2 .
  • The continuum model formalism can be applied to both FIB and FEB gas-assisted processing.

A. Steady state solutions

  • Hence, the molecule density outside the irradiated area is nout=sJ out and at the beam center nin=sJ in.
  • Three important FEB and FIB process regimes can be distinguished: diffusion enhanced, precursor limited, and 1224 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1224 electron or ion limited.
  • The diffusion-enhanced regime deserves a specific consideration since it is beam size dependent, i.e., it becomes operative when molecules reach J. Vac. Sci. Technol.
  • The expression is similar to Eq. 3.5 but the diffusion contribution term contains now the dependence on the rod length, being inversely proportional to it.
  • The deposition and etch rate increase and finally saturate for refresh times larger than the effective residence time outside the irradiated area tr out.

D. Parameter determination from raster scan exposures

  • Often exposure of boxes is achieved via a serpentine scan shown in Fig. 32.
  • After finishing one raster scan, the beam repeats the pattern after a refresh time tr, sometimes also called as loop time.
  • Experiments and theory are compared in Fig. 31. for FEB and FIB deposition.
  • The effective residence time inside the irradiated area including diffusion is thus eff= in+ diff and results in values of keff =1 / eff being lower than the k values defined in Eqs. 3.11b .

E. Conditions for the electron- or ion-limited regime

  • It was shown that this regime, also referred to as reactionlimited regime, gives the highest resolution as well as the highest deposition or etch rate of the gas-assisted FEB/FIB process.
  • This is why here the authors derive the conditions under which this regime is operative.
  • If f0 determines the effective residence time inside the irradiated area, then in = kd −1= f0 −1, and the authors can readily estimate ranges of diffusion coefficients and exposure times needed for establishing the electron-/ion-limited regime.
  • JVST B - Microelectronics and Nanometer Structures.

F. Models accounting for several species of adsorbates

  • Different surface adsorbed molecule species arise when intermediate reaction products are formed or when two different precursor molecules are deliberately introduced into the vacuum chamber.
  • In any of such cases the equilibrium surface density of each adsorbate species is obtained from a set of coupled differential adsorption rate equations.
  • At low irradiation doses low number of electrons per area the intermediate product was predominantly formed having a higher resistiv- e dwell times td for the electron- or ion-limited regime.
  • Another example is the competing mechanism of hydrocarbon contamination deposition and H2O etch molecules both adsorbed on the same surface.
  • The switching between deposition contamination pillar and etching substrate surface attacked with increasing electron dose flux was observed.

IV. MONTE CARLO MODELS FOR GAS ASSISTED

  • The strength of this approach is that all primary electron trajectories and generated secondary electrons can be traced together with their corresponding energies as they traverse any surface and material see Fig. 33 .
  • When they encounter J. Vac. Sci. Technol.
  • B, Vol. 26, No. 4, Jul/Aug 2008 an adsorbed molecule a reaction proceeds according to the energy dependent cross section.
  • Presently, due to the lack of experimental data, simple generic cross sections and parametric secondary electron generation are implemented, imposing severe limits of this approach with respect to its predictive relevance for gas-assisted FEB or FIB experiments.
  • Presently, there are no MC simulations reported for gasassisted FIB processing.

A. Monte Carlo models without precursor dynamics

  • Monte Carlo models described in this section can be used to estimate the ultimate resolution of deposits or etched holes obtainable with FEB.
  • The models assume steady state conditions and that no precursor depletion occurs, n r , t =nout=const.
  • Lateral growth occurs due to SE scattering in the freshly deposited structure,238 giving an ultimate resolution limit in FEB processing for the desired aspect ratio of a deposit or etch structure.
  • Furthermore, MC simulations neglecting precursor depletion and replenishment fail to predict a decreasing deposition rate with increasing exposure time increasing pillar length , which is generally observed, e.g., Refs. 239 and 240.

B. Monte Carlo models with precursor dynamics

  • Additionally to the solution of the energy integral in Eq. 2.1a , these models solve the adsorption rate equation Eq. 2.2 on the surface of the deposit or etch hole.
  • MC simulations additionally output the contribution of sec- ondary electron to lateral deposit growth, which becomes 1232 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1232 important for small incident beam sizes.
  • At least two reasons can be found for this dependence: increased molecule desorption due to beam heating see Sec. II F 2 or decreasing diffusion.
  • Converting the time dependence of the vertical deposition rate into a dependence on pillar length R L makes experiments and simulations comparable.
  • Differing relations are obtained from MC simulations considering diffusion: the relation R L L −0.4 was simulated for WF6, etc. from Fig. 10 c in Ref. 251 and the relation R L L −0.2 was simulated for hydrocarbons;252 however, both references miss a comparison to experiments.

V. PRECURSOR MOLECULES

  • The precursors for FEB and FIB induced processing deposition and etching are of paramount importance.
  • The precursor gases for FIB and FEB induced reactions are the same: ideally, they should stick to and reside long on the surface to be activated/dissociated for etching or for deposition.
  • Since the ligands originate from the same “mother” molecule that should be reasonably volatile, these two requirements are conflictive, meaning that an optimum must be found.

1. The role of residual molecules in microscope chambers

  • The influence of other molecules present in the system has often been overlooked.
  • For focused electron beam induced etching FEBIE , the presence of water might strongly enhance the process; the presence of carbonaceous contaminants on the other hand will completely stop the process.
  • In a recent study the water desorption behavior of stainless steel and aluminum surfaces are studied in air and vacuum.
  • As most of the vacuum chambers of microscopes posess more than 1000 cm2 of surface, there are 1015–1017 molecules of water desorbing from the walls during most processing cycles.

2. Precursor stability

  • After synthesis purification of the compound has to be carried out in order to minimize potential contaminants.
  • Important parameters influencing the degree of degradation of compounds are the container materials stainless steel, glass, aluminum, and polymers , the storage time, and the temperature.
  • Furthermore for halogens or halogen containing gases, mechanical shocks of the metal containers should be avoided because of metal halogenide particles detaching from the metal walls of the containers.
  • Pumping at room temperature on precursors might change the chemical composition of the substance by slow but constant ligand loss and therefore higher clustering.
  • Another phenomenon, the loss of one water ligand, has been observed for the hexafluoroacetylacetonato-copper precursor, the light green Cu hfa 2 ·H2O.

3. Vapor pressure and evaporation enthalpy

  • Furthermore, the evaporation enthalpy of a compound is an important property for FEB and FIB induced deposition and etching because it is a measure for the energetics of adsorption and desorption phenomena of those compounds on substrates.
  • Determination of the gas phase composition vapor pressure of volatile compounds precursors and the potential residue is often carried out by thermo gravimetrical analysis.
  • The dynamic regime applying a carrier gas does not necessarily result in identical results as the pure pumping on a precursor.
  • Chickos and Acree collected and tabulated a large number of vapor pressure measurements and evaporation and sublimation enthalpies published in the past 100 years.
  • They emphasize the variations of the values, especially for the evaporation/sublimation enthalpy that might vary by more than one order of magnitude due to polymorphism and insufficient measurement accuracy.

B. Complexes for deposition

  • This section is organized in the following way.
  • The simplest ligands are hydrogen H or the halogens F, Cl, Br, and I.
  • Purely empirically it turns out that deposition processes of neutral or low charged central atoms result in higher metal contents than highly charged central ions.
  • This can be obtained at relatively low electron densities and with precursors that dissociate easily at low temperature or autocatalytically or that a chemical reaction such as an oxidation is initiated by the electrons.

1. Organic compounds for C deposition

  • Carbon deposits are the most common FEBID products as they appear as contamination results in electron microscopy.
  • The small alkanes from n=1 to 5 are gases, from hexane n=6 to heptadecane n=17 , decreasingly volatile liquids, and from octadecane C18H38 to higher alkanes, solids.
  • Synthetic oils are divided in two groups, the polyphenylethers that are aromatic phenyl rings linked with O bridges J. Vac. Sci. Technol.
  • Only at the very beginning of the deposition process, studies of the chemisorption of molecules on the ultra-clean well characterized substrates are important.
  • The deposit materials range from amorphous carbon to graphite; under special gas composition conditions and irradiated parameters, diamond or at least diamond like carbon as a mixture of sp2 and sp3 hybrized Carbon was also claimed.

2. Hydrides

  • The only hydrides that have been studied for deposition TABLE XII.
  • Ga hydrides-azides that contains the azide as ligand is included here also, even though nitrogen might be a contaminant found as nitride in the deposit.
  • This kind of gas activation is not directly comparable with FEBID due to the strong differences concerning the cross sections of molecules in the gas phase as compared to the adsorbed phase molecules.
  • Si deposition from disilane Si2H6 was reported in an UHV system with 5 keV electrons and a very large electron beam 2 mm beam diameter .279.
  • The deposit dimensions were determined by the secondary electron escape dimensions and increase with increased deposition time.

3. Halides

  • Metal halides are toxic and corrosive and are therefore not the preferred choice of precursors for FEB induced deposition.
  • Here the electronic excitation results in phonon excitation in the substrate that results finally in the desorption of molecular bromine.
  • For in-depth understanding of the deposition processes of above materials, the desorption literature of halogens from these compounds should be checked.
  • Increasing the “deposition rate” was realized by adsorption of a layer of precursor prior to electron beam irradiation and therefore in- 293,294 creased the efficiency of the deposition process.
  • The latter results from desorption of chlorine from the surface.

4. Carbonyls

  • Toxic, but often used precursors are metal carbonyls.
  • If such a complex is partially dissociated close to the surface of a metal, the metal carbonyl fragment will be attached to the metal surface and the CO ligands will have to be dissociated from the central metal atom.
  • Accompanying this electron beam induced desorption is the low-energy electron induced dissociation of the CO on the metal surface that leads to carbon deposits and the liberation of O+.304 324.
  • The molecular adsorbed CO might desorb from the surface by thermally induced desorption.

5. Pure phosphines and halogenophosphines

  • Trifluorophosphine is an excellent -donor- -acceptor ligand, resulting in complexes that are even more stable than the corresponding carbonyls.
  • The stability of the complex would need a slightly higher substrate temperature for complete thermal dissociation than the corresponding carbonyls, as, for example, for nickel.
  • Also the temperature increase of the substrate decreased the P content in the deposits.
  • Another trifluorophosphine complex, tetrakistrifluorophosphine platinum Pt PF3 4, has been used for deposition of Pt. Again codeposition of phosphorus was observed during FEB induced deposition331 probably due to the electron induced fragmentation of the adsorbed PF3 ligands that competes with the desorption rate of intact PF3 ligands from the deposits.
  • The Rh compound and the Ir compound are both thermally much more stable than the AuClPF3 compound as shown for the Rh compound by the vapor pressure measurements.

6. Organometallics

  • Organometallic molecular compounds are in literature also sometimes called metal organic compounds or metalloorganic compounds without a clear definition of the words.
  • Here the authors use organometallics for compounds with carbon to metal bonds.
  • The irradiation of tetramethylsilane TMS in a SEM results in the deposition of partially oxidized amorphous silicon and carbon rich deposits.
  • Relatively large amounts of carbon are generally codeposited Table XV .

7. Acetylacetonates

  • According to a review article about the oxygen—metal bond energetics in -diketonates,369 the other name for acetylacetonates, these compounds are known already since 1887.
  • JVST B - Microelectronics and Nanometer Structures.
  • The acetylacetonate groups are relatively stable ligands that do have to be dissociated, fragmented, or chemically reacted, for example, by oxidation or reduction in order to get rid of them from a metal surface.
  • The latter takes place generally at temperatures above 200 °C.

8. Alkoxides, nitrates, and amides

  • The most popular precursors for oxide deposition are the alkoxides.
  • For titanium, the highest volatile alkoxide is the titanium tetraisopropoxide TTIP ; for tantalum the tantalum pentaethoxide Ta OEt 5 is commonly used for tantalum oxide deposition.
  • Alkoxides are water sensitive and undergo hydrolysis reactions at room temperature, liberating the corresponding alcohols and forming hydroxyl groups attached to the central atom.
  • The depositions of Si containing materials from precursors that contain already oxygen, nitrogen, or carbon bonds to Si are very unlikely to produce pure silicon.
  • Comparable to this are the depositions with very low volatile compounds such as octavinylsilsesquioxane condensed as films on substrates and exposed like photeresists by electrons.

9. Precursors for oxide deposition „dielectrics…

  • Deposition conditions, deposit composition, and electrical ap/subl were collected from Refs. 268 and 360.the authors.
  • Other symbols are beam timated local pressure on sample surface , and tdep deposition time .
  • Generally, carbon con- 1245 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1245 tamination of the deposit is found for carbon containing precursors, which is, however, at an acceptable limit for certain applications, like the electrical insulating properties of the SiO2 containing deposits.
  • Table XVII summarizes experi- ments performed for the oxides of Si, Ti, and Ta. JVST B - Microelectronics and Nanometer Structures.

10. Postdeposition treatments

  • Deposits can be post-treated; in most cases thermal annealing is carried out.
  • The annealing can take place either in s. Vapor pressures Pvap, deposition conditions, deposit composition, and ies Hvap/subl were collected from Refs. 266, 268, and 269.
  • VTMS Vinyltrimethylsilane, ursor nthalp g de cetyla vacuum or in the presence of reactive gases that might be 1246 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1246 oxidizing or reducing.
  • This section will not cover all of the tests carried out in this field.
  • Other symbols are beam estimated local pressure on sample surface , and tdep deposition time .

C. Precursors and additional reactive gases

  • All carbon containing precursors result in carbonaceous codeposits as long as the substrate temperature is below the desorption temperature of the ligands.
  • In the case of higher temperatures the carbon contamination is determined by the competition of ligand fragmentation rate and ligand desorption rate.
  • As the precursor decomposition temperature and the ligand desorption temperature from the corresponding metal surface are generally very close, ligands from room temperature stable precursors will not readily desorb from substrates at room temperature.
  • Approaches to decrease the carbon content by adding gases or changing substrate temperature are partially successful for noble metals and pure oxide deposition.
  • Thus the purity of the carbon containing deposits can be improved by adding chemically reactive gases to oxidize or reduce the carbon during the deposition process.

1. Metals, metal oxides, and metal nitrides

  • Adding oxidizing gases for deposition of pure gold Au works reasonably well as shown in an ESEM that has not been specifically cleaned before deposition with dimethylgold acetylacetonate Me2Au acac as precursor.
  • The deposits were obtained in the presence of sufficient water vapor together with the precursor Me2Au acac .
  • The FEBID with the aromatic sandwich compound bis methylcyclopentadienyl -Ni II resulted in a strong decrease in the carbon content of the deposits from 80% down to about 50%, but no significant improvement in electrical conductivity was obtained due to the formation of nickel oxides.
  • The addition of hydrogen gas into the chamber mainly desorbed higher quantities of water from the microscope walls and again only an increased oxide content was observed but no reductive action of the added hydrogen gas.330 Magnetic oxide, such as magnetite, was deposited recently for data storage research.

2. Pure silicon dioxide „SiO2…

  • Main group or transition metal oxides are either electrically insulating or semiconducting materials, and more or less optically transparent.
  • Three classes of precursors have been studied, silanes, alkoxysilanes, and alkyl-aryl silanes.
  • Differences between these precursors are the sticking behavior on substrates and the sensitivity to water.
  • It was found that adding more oxidizing gases such as water or oxygen decrease generally the carbon content in the deposits.

1. FEB gas-assisted etching

  • FEB induced etching ideally triggers the release of an etchant compound by irradiation.
  • Etching of carbon in the form of synthetic diamond was carried out with oxygen, hydrogen, water, and air as precursor.
  • Its use for FEB induced etching of silicon based material has been reviewed in detail.
  • FEB induced deposition of pure oxides with additional reactive are given.

2. FIB gas enhanced etching

  • The same precursor compounds as described for FEB induced etching above can often be used for the enhancement of physical sputtering in FIB milling .
  • Indeed gasassisted FIB can be regarded as a local version of plasma etching or reactive ion etching, although the ion energy is much higher for FIB.
  • Vapor pressures Pvap, deposition conditions, and the deposit compositions as.
  • The ideal etchant is thus composed of halogens, noble gases, nitrogen, oxygen, or hydrogen.
  • The experimental enhancement factors range within 0.2–75 for different materials systems.

E. Nonvolatile compounds

  • Most compounds, containing more than three metal atoms even with the lowest polarizable ligands, such as CO or PF3 are barely volatile without decomposition.
  • Prominent examples are the stabilized gold clusters obtained with the same type of ligands as the high purity gold resulting trifluorophosphine gold chloride compound.
  • It is straightforward that layers of such compounds have also been irradiated with charged particles in order to change the properties.
  • Another problem of this approach is the limited adhesion of the obtained deposits on the substrate.
  • The limited purity of the deposits and the weak adhesion are arguments against using these kinds of processes, but the strongest argument against the decomposition of metal containing films for pure metal structures is the similarity to well established, much faster, and good quality of metal deposit resulting lift-off processes.

OF DEPOSITS

  • A. Time-resolved process control 1. Reflectometry Real-time in situ reflectometry during FEB deposition was reported in Ref. 379.
  • The principle is such that the intensity of the reflected laser beam depends on the optical thickness of the deposit and its optical absorption.
  • A periodic signal is obtained, which allows calculating the real and imaginary parts of the refractive index at the laser wavelength from the period and the amplitude decay after having performed a thickness calibration.
  • This kind of “tomography” information acquired in situ would replace time-consuming FIB cross section preparation.
  • 1252 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1252 1253 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1253 thesize of the deposit must be at least somewhat larger than the focused laser beam.

2. Monitoring of sample current and secondary electron signal

  • End point detection during FIB milling can be carried out by monitoring the sample stage current or the secondary electron current or by imaging the milled area during the FIG.
  • Endpoint detection by time-resolved sample current measurements.
  • All of these methods depend on the fact that the secondary electron yield depends on the material, and so as one mills into a multilayer film material the current or the image will change as the milling reaches the boundary between films.
  • The molar mass of the etched material is generally known and etch yields can be derived in situ.
  • From mass measurements, the density dep of the deposit can be obtained by measuring the deposit volume Vdep and dep =mdep /Vdep.

4. In situ electrical resistance measurements

  • Time-resolved resistance measurements during FEB deposition with W CO 6 and acrylic acid were reported in Refs. 65 and 269.
  • The in situ measurements permit to study the “electrical thickness” of the deposit.
  • For constant length, width, and electrical resistivity, the resistance is inversely proportional to the deposited wire thickness.
  • Any deviation from this relationship would point to changes affecting the charge carrier generation or transport and deposition kinetics such as charging effects or formation of intermediate species65,269 under irradiation during FEB and FIB processing.
  • Alternatively, four point probe measurements would cancel contact resistance effects.

5. In situ observation studies

  • Dual or cross beam machines possessing a focused electron and ion beam allow in situ observation of the process.
  • Information regarding the shape and process rate can be obtained in one experiment, which would otherwise necessitate a series of experiments stopped at differing intervals of the deposition or etch process.
  • It was suggested that this method is ca- 1256 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1256 pable of resolving the deposition of one single molecule, which would be the highest resolution of all the in situ techniques employed today.

B. Composition and substructure

  • The most commonly used methods comprise energy dispersive x-ray spectroscopy EDXS , Raman spectroscopy, fast Fourier infrared FTIR spectroscopy, Auger electron spectroscopy AES , and FIB cross section preparation.
  • Although EDXS is well known the authors would like to point out that the standard quantification software of spectra often only allows for bulk samples.
  • The internal structure of charged particle induced deposits can be generally classified into amorphous, nanocomposite metal nanocrystals–carbon matrix , and polycrystalline see Fig. 43 and 44 .
  • TEM of FEB deposit substructures from differing precursors.
  • The composition and microstructure of copper376 see Fig. 45 and gold430 films obtained by focused ion beam induced deposition using organometallic precursors have also been studied.

C. SEM integrated mechanical measurements

  • These measurements involve integration of nanomanipulation setups into the SEM.
  • An overview on cantilever based force sensors and piezodriven vibration stages and their applications in scanning electron/ion microscopes can be found in Ref. 426.
  • The determination of density and Young’s modulus of FIB pillar deposits from phenanthrene and W CO 6 was demonstrated in Refs. 431 and 432.
  • The mechanical properties as function of deposition parameters were investigated and showed a dependency with the flux ratio of precursor molecules and incident ions.

VII. APPLICATION FIELDS IN RESEARCH

  • The preceding discussion has shown that FEB and FIB processing is highly flexible for achieving nanoscale stuctures by using both material addition and material removal.
  • Obviously, with any new precursor molecule more functional structures can be deposited or etched with tailored dielectric, electrical, mechanical, and optical properties.
  • Presently, three industrial applications can be identified for FEB: photomask repair of the 65 nm node and below, high-aspect ratio diamondlike atomic force microscopy AFM sensors, and circuit editing of multilevel architectures.

A. Repair of photomasks

  • Repair of defects in masks for extreme ultraviolet and advanced phase shift masks requires to deposit opaque or transparent material or to remove photomask material with high selectivity and without damage to the underlying material Fig. 47 .
  • FEB deposition of SiO2 obtained from TMOS and TMS by adding oxygen as reactive gas is reported.
  • Other scientific studies comprise a comprehensive comparison of mask repair technologies including FIB, FEB, SPM, and laser.
  • Further details on Cr etching with FEB and FIB can be found in Tables XX and XXI, respectively.

B. Scanning probe sensors

  • Commercial cantilevers with ultrasharp high-aspect-ratio tips are used in AFM configuration to sense a variety of properties with nanometer resolution, such as topography, magnetic polarization, ferroelectric domains, and temperature.
  • High resolution, high-aspect-ratio tips can be made by FEB induced deposition in a simple single step process256,444 by focusing the primary beam on the cantilever and depositing a pillar of the desired material Fig. 48 .
  • Mechanically resistant, high-aspect-ratio, high resolution AFM tips of diamondlike carbon are a commercial product 445 from Nanotools.
  • This balance is very difficult to quantify experimentally.

C. Circuit editing

  • Focused ion beams, and in some cases also electron beams, are used to rewire integrated circuits in the prototyping phase.
  • If an integrated circuit does not operate as expected after the initial design and fabrication, it can be al- tered and retested or diagnosed with these techniques.
  • The other challenge is to connect the “buried” metal layer with other metal layers or top layer electronics by depositing an electrically conductive pillar inside the via hole.
  • 65 The deposit formed a Schottky barrier to the underlying GaAs substrate with an ideality factor of 1.4.
  • Ion beam deposited contacts using W CO 6 and Ar+ and H2 + ions showed Ohmic behavior at 2 keV incident energy due to ion induced damage and Schottky behavior at 0.5 keV.467.

E. Micro- and nanoelectronics

  • FEB and FIB are used in this field as a versatile threedimensional single step fabrication, which does not require multistep processing as needed in e-beam lithography.
  • There are a large number of laboratory demonstrators of electric circuit “LEGO” units such as resistors, wires, bonds, transistors, and sensors.
  • Furthermore, failure inspection can be followed by a “just in place” repair or modification of electrical units can be performed very locally, for instance, in nanoelectromechanical system devices.

1. Insulators and resistors

  • Requirements for insulators are high resistance, high breakthrough voltage fields, and low intrinsic charges.
  • Insulator deposition by FIB was reported for different silane precursors.
  • With pentamethyl cyclopentasiloxane the best resistivity of FIB=8 10 11 cm and break down fields of 650 V / m were achieved.
  • Hoyle 233 et al. developed a two stage deposition model involving a JVST B - Microelectronics and Nanometer Structures high resistivity intermediate dissociation product and a final low resistivity product to explain deposit thickness and resistivity measurements for FEB with W CO 6.
  • The resistance versus temperature behavior of wires from MeCpPt Me 3 precursor was shown to rely on a variable range hopping mechanism with Coulomb oscillations for FEB deposits, whereas the resistance of FIB deposited wires hardly depended on temperature.

2. Electrical contacts

  • Requirements for electrical contacts are low resistance and a work function match in case of contacts to semiconductors, often nanowires, to avoid Schottky barriers.
  • The reason for the halo deposits with sizes in the micrometer range around the incident beam is due to incident stray ions and redeposition for FIB and due to back and forward scattered electrons for FEB processing.
  • Au deposit has still a resistivity of 10–20 times of bulk gold due to the percolative grain structure.
  • Another study on GaN nanowires showed that FIB deposited contacts were initially nonlinear and quite resistive, but after annealing the contact resistance became quite linear and four orders of magnitude lower than before.
  • The authors can conclude that low resistance electrical contacts by FEB deposition can be obtained using carbon-free precursors or adding additional reactive gases to organometallic precursors.

3. Laboratory prototype devices

  • Various micro and nanoelectronic devices have been fabricated using FEB and FIB techniques.
  • 4 Combined FIB milling and ion implantation were used for the fabrication of high temperature superconductor Josephson junctions.498 5 GaN nanostructures fabricated by FIB deposition at 600 °C using gallane quinuclidine precursor and reactive nitrogen radical gas showed near-band-edge emission from GaN and other luminescence attributed to defects and/or impurities.
  • The feasibility of quantum wire fabrication was shown by FEB etching with Cl2 into GaAs /AlGaAs Ref. 499 and by FEB deposition with GaMe3 and AsH3.
  • This area has been reviewed in a recent article.
  • In addition when the single walled carbon 1263 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1263 nanotubes are FIB irradiated with doses between 1012 and 1015 ions /cm2, the conductance of the carbon nanotube drops monotonically to zero.

F. Field emitters

  • The advantages of electron field emitters compared to thermal and Schottky emitters are high brightness, small energy spread, and good coherence.
  • Specifications of field emitters obtained with different precursors can be found in numerous articles.
  • Electron-hopping processes instead of Ohmic conduction allow for extraordinarily high current densities within these wires reaching more than 2 MA /cm2 Ref. 343 to 20 MA /cm2.521 525 A fully FEB deposited field emitter was presented in Ref. 508 and 526.

G. Mask fabrication for pattern transfer

  • The requirements for FEB or FIB processed masks are the same as for lithography resists: stability against wet or dry etch.
  • The etch stop appears at ion densities of 3–5 1010 ions /cm2, i.e., the sensitivity of this process was extremely high such that writing rates of nearly 1 mm2 /s were obtained.
  • FIB Ga implantation into boron doped 536 Such concentrations are also reached in the sidewalls of FIB milled structures, which makes fabrication of tailored three dimensional nanostructures possible527 see Fig. 54 b .
  • FIB deposition from phenanthrene precursor was used to fabricate three dimensional molds for nanoimprint lithography into hydrogen silsequioxane.

H. Mechanical applications

  • Gas-assisted FEB and FIB are often used as attachment tools for carbon nanotubes or nanowires onto AFM tips or microelectromechanical system structures540–546 see Fig. 55 a .
  • Cutting of carbon nanotubes with FEB and water vapor was reported in Ref. 547.
  • A small mechanical rotor unit was produced by FIB deposition550 see Fig. 55 d and an electromechanical switch consisting of a free space wired coil and a nanowire 551 were reported.
  • The small interaction volume of Ga ions at 1264 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1264 high energies and the high deposition rates are quite favorable for the fabrication of these complex three dimensional structures.
  • Young’s moduli of FEB and FIB deposits from phenanthrene were found to have an opposite dependency on incident beam energy.

I. Biorelated applications

  • Employment of gas-assisted FEB and FIB processes in biology are relatively new.
  • Nilsson555 reported a FIB process, which includes FIB milling of pores into silicon nitride membranes and their successive diameter reduction by gas-assisted FIB deposition using tetraethoxysilane and water see Fig. 56 a .
  • Ar ion beam was used for controlled closing of nanopores down to 1.8 nm by creating diffusive adatoms.
  • The deposits showed a sufficiently low cytotoxicity for growing nerves in vitro.

A. FEB versus FIB

  • In certain respects FEB fabrication and FIB fabrication are complimentary.
  • The situation for gas-assisted processing can be summarized as follows.
  • FIB has generally a higher yield in deposition and etching but generally damages the area addressed and contaminates it with gallium.
  • For FEB it was shown that smart chemistry can improve the metal content close to 100% by using inorganic precursors and additional reactive gases.
  • Replacing the predominantly used Ga ions by lighter ions such as protons or helium or other noble gases might diminish the extent of damage and contamination, where they prove detrimental in nanofabrication.

B. Process regimes

  • The understanding of the concept of process regimes in gas-assisted FEB and FIB is of utmost importance.
  • The understanding and control of process regimes are prerequisites for the further technological development of FEB and FIB technologies as well as the correct scientific interpretation of experimental results.
  • What matters is to find the irradiation conditions for which one of the molecule species becomes limited with respect to the others.
  • Then deposit properties can be changed as well as switching between deposition and etching be observed.
  • Finding exposure conditions beam parameters, vacuum conditions, or molecules that avoid this substructure is very important for depositing pure material.

C. Precursor molecules and deposit purity

  • For the majority of FEB and FIB experiments, the energies of the incident beam and the energy spectrum of the emitted electrons or surface excited atoms will not lead to breaking of one specific bond for instance, desirably the metal-ligand bond in the adsorbed molecule but will quite unselectively break other bonds, too.
  • This redistribution also limits the process window for selective bond scission of higher energy bonds in the molecule.
  • Presently, sub-1-keV scanning beam systems can be only achieved at very low lateral resolution.
  • Finally, the authors would also like to note that functional deposit material can be obtained using carbon containing metal precursors.

D. Fragmentation channels and reaction paths

  • There is, from a scientific point of view, an important lack in understanding of the beam induced chemistry.
  • On the one hand there is a deep fundamental insight into electron stimulated chemistry in adsorbed molecules and even more in the gas phase .
  • Furthermore, the pressure range is low so that there is no equilibrium between gas and solid phase.
  • Hence, the question is J. Vac. Sci. Technol.

E. Resolution

  • The best lateral resolutions are reported for aspect ratio structures below or near 1. sub-5-nm resolution of lowaspect-ratio deposits by FEB on bulk Si was demonstrated in a high resolution SEM.
  • The possibility to deposit one single molecule using the molecule limited regime and an in situ feedback control signal was suggested.
  • Experimentally, best pillar diameters obtained are around 50–80 nm and best apex diameters were around 10 nm.
  • Apart from the larger FIB diameter, the authors attribute this to the molecule-limited regime in the central beam irradiated region.

F. Models

  • Models for process rates as well as for deposit and etch shapes can be classified into continuum models and Monte Carlo simulations or a mixture of both .
  • The beauty of Monte Carlo models is that incident and secondary electrons or incident ions and cascade ions can be traced anywhere in space with their remaining energy.
  • First, it is difficult to decide which dissociation channel see Sec. II B is responsible for deposition and, second, in most cases data on the related cross section are missing.
  • A pragmatic approach is followed, which takes available data from the gas phase.
  • Simple, low-aspect geometries can be treated reliably.

G. Fundamental issues

  • A number of scientific as well as technological challenges still remain.
  • Since FEB and FIB interaction with matter produces an energy spectrum, these reactions can also occur simultaneously.
  • Dissociative adsorption with ligand transfer to the substrate might play a dominant role in deposition reactions.
  • The role of possible gas phase reactions and charging effects has been explored only to a limited degree.
  • JVST B - Microelectronics and Nanometer Structures.

1. Helium ion beam

  • Its operation at cryogenic temperatures has always been difficult, and no practical helium ion column had been developed.
  • Because of the vast mass difference between a helium ion and an electron, one may speculate that the area from which secondary electrons are emitted in the case of the helium ions is smaller than the area from which secondary electrons are emitted when an electron beam is incident.
  • The nanofabrication potential of He ions has, to their knowledge, not been explored.
  • Clearly sputtering and adsorbate dissociation do occur and there may be reason to expect that the surface/ion interaction may be more localized and therefore the fabricated structures may be closer to the beam diameter.

2. Projection maskless patterning

  • Ion projection lithography was actively considered and developed as one of the “next generation lithographies” to replace optical lithography for chip manufacturing.
  • The image of this aperture mask is demagnified by 200 onto the sample.
  • The beamlets are turned on and off in a programed fashion so as to produce the desired pattern as the wafer moves under the beam—sort of like an ion beam dot matrix printer.
  • For electrons, of course, the current can be even higher.

ACKNOWLEDGMENTS

  • The authors would like to thank V. Friedli and T. Bret for a critical reading of the article and K. Edinger, J. Mulders, V. Callegari and S. Babin for material and data they provided.
  • I.U. acknowledges financial support from the EU project NanoHand.
  • To direct inquiries from readers and for proper attribution: the fundamentals and models of the processes, the organization of all the data, and descriptions of many of the applications are due to I.U., the gas chemistries are due to P.H., and J.M. contributed to the overall content and conception of the article, particularly the FIB material.
  • In spite of search engines and the large number of references, the authors may still have missed important work.

Did you find this useful? Give us your feedback

Figures (55)

Content maybe subject to copyright    Report

REVIEW ARTICLE
Gas-assisted focused electron beam and ion beam processing
and fabrication
Ivo Utke
a
EMPA, Swiss Federal Institute of Materials Testing and Research, Feuerwerkerstrasse 39, CH-3602 Thun,
Switzerland
Patrik Hoffmann
Advanced Photonics Laboratory, Ecole Polytechnique Fédérale de Lausanne, CH-1015 Lausanne,
Switzerland
John Melngailis
Department of Electrical and Computer Engineering, Institute for Research in Electronics and Applied
Physics, University of Maryland, College Park, Maryland 20742
Received 16 January 2008; accepted 3 June 2008; published 11 August 2008
Beams of electrons and ions are now fairly routinely focused to dimensions in the nanometer range.
Since the beams can be used to locally alter material at the point where they are incident on a
surface, they represent direct nanofabrication tools. The authors will focus here on direct fabrication
rather than lithography, which is indirect in that it uses the intermediary of resist. In the case of both
ions and electrons, material addition or removal can be achieved using precursor gases. In addition
ions can also alter material by sputtering milling, by damage, or by implantation. Many material
removal and deposition processes employing precursor gases have been developed for numerous
practical applications, such as mask repair, circuit restructuring and repair, and sample sectioning.
The authors will also discuss structures that are made for research purposes or for demonstration of
the processing capabilities. In many cases the minimum dimensions at which these processes can be
realized are considerably larger than the beam diameters. The atomic level mechanisms responsible
for the precursor gas activation have not been studied in detail in many cases. The authors will
review the state of the art and level of understanding of direct ion and electron beam fabrication and
point out some of the unsolved problems. © 2008 American Vacuum Society.
DOI: 10.1116/1.2955728
I. INTRODUCTION............................ 1198
A. Electron and ion beams.................. 1199
B. Electron and ion beam properties. . ........ 1200
1. Electron beams....................... 1200
2. Focused ion beams.................... 1200
C. Characteristics of incident primary electron
and ion beams.......................... 1201
II. PRINCIPLES AND FUNDAMENTALS
OF GAS-ASSISTED FEB AND FIB
DEPOSITION
AND ETCHING. ............................ 1202
A. Nonlocal surface effects due to electron
and ion interactions..................... 1204
1. Interaction mechanisms................ 1204
2. Energy spectra of emitted electrons
and of activated surface atoms.......... 1205
3. Radial density distribution of surface
interactions.......................... 1206
B. Electron interaction with molecules. . ....... 1207
1. Electron interaction with gas phase
molecules........................... 1207
2. Electron interaction with adsorbed
molecules........................... 1208
3. Electron stimulated desorption.......... 1209
4. Physical sputtering due to electrons...... 1210
C. Ion interaction with molecules............. 1210
1. Ion interaction with adsorbed molecules. . 1210
2. Physical sputtering due to ions.......... 1211
D. Impinging precursor flux................. 1214
1. Molecule flux in chambers and at tube
exit................................ 1214
2. Spatial distribution of molecule flux. . .... 1215
3. Shadow effects....................... 1216
4. Gas phase related processes............ 1216
E. Precursor migration..................... 1217
1. Adsorption and desorption.............. 1217
2. Surface diffusion..................... 1218
3. Electrostatic field mediated phenomena. . . 1219
F. FEB/FIB heating........................ 1220
1.
Plane bulk geometry.................. 1220
2. Pillar geometry....................... 1220
3. Membranes.......................... 1222
1197 1197J. Vac. Sci. Technol. B 264, Jul/Aug 2008 1071-1023/2008/264/1197/80/$23.00 ©2008 American Vacuum Society

III. FEB AND FIB CONTINUUM MODELS........ 1222
A. Steady state solutions.................... 1223
B. Parameter determination from steady state
exposures.............................. 1225
C. Time dependent solutions for pulsed
irradiation............................. 1226
D. Parameter determination from raster scan
exposures.............................. 1228
E. Conditions for the electron- or ion-limited
regime................................ 1229
F. Models accounting for several species
of adsorbates........................... 1229
IV. MONTE CARLO MODELS FOR GAS
ASSISTED FEB INDUCED DEPOSITION. . .... 1230
A. Monte Carlo models without precursor
dynamics.............................. 1230
B. Monte Carlo models with precursor
dynamics.............................. 1231
V. PRECURSOR MOLECULES.................. 1232
A. General aspects......................... 1233
1. The role of residual molecules in
microscope chambers.................. 1233
2. Precursor stability.................... 1233
3. Vapor pressure and evaporation enthalpy.. 1233
B. Complexes for deposition................. 1233
1. Organic compounds for C deposition. .... 1234
2. Hydrides............................ 1236
3. Halides............................. 1237
4. Carbonyls........................... 1237
5. Pure phosphines and halogenophosphines.. 1240
6. Organometallics...................... 1242
7. Acetylacetonates...................... 1243
8. Alkoxides, nitrates, and amides.......... 1243
9. Precursors for oxide deposition
dielectrics.......................... 1244
10. Postdeposition treatments. . ............ 1245
C. Precursors and additional reactive gases..... 1247
1. Metals, metal oxides, and metal nitrides. . 1247
2. Pure silicon dioxide SiO
2
............. 1247
D. Etchants............................... 1248
1. FEB gas-assisted etching............... 1248
2. FIB gas enhanced etching.............. 1249
E. Nonvolatile compounds.................. 1251
VI. PROCESS CONTROL AND
CHARACTERIZATION OF DEPOSITS. . . . . . . . 1251
A. Time-resolved process control............. 1251
1. Reflectometry. . . . . . . ................. 1251
2. Monitoring of sample current and
secondary electron signal............... 1253
3. Mass sensing........................ 1254
4. In situ electrical resistance measurements.. 1255
5. In situ observation studies.............. 1255
B. Composition and substructure............. 1256
C. SEM integrated mechanical measurements... 1257
VII. APPLICATION FIELDS IN RESEARCH
AND INDUSTRY.......................... 1257
A. Repair of photomasks.................... 1258
B. Scanning probe sensors. . ................ 1258
C. Circuit editing.......................... 1259
D. Nanophotonics......................... 1259
E. Micro- and nanoelectronics............... 1261
1. Insulators and resistors................ 1261
2. Electrical contacts.................... 1261
3. Laboratory prototype devices........... 1262
F. Field emitters.......................... 1263
G. Mask fabrication for pattern transfer........ 1263
H. Mechanical applications. . ................ 1263
I. Biorelated applications................... 1264
VIII. SUMMARY AND OUTLOOK............... 1264
A. FEB versus FIB........................ 1264
B. Process regimes........................ 1265
C. Precursor molecules and deposit purity. . . . . . 1265
D. Fragmentation channels and reaction paths... 1266
E. Resolution............................. 1266
F. Models................................ 1266
G. Fundamental issues...................... 1267
H.
Future prospects. ....................... 1267
1. Helium ion beam..................... 1267
2. Projection maskless patterning.......... 1267
APPENDIX A: RANGES OF ELECTRONS AND
IONS........................................ 1268
APPENDIX B: ELECTRON AND ION ENERGY
LOSSES AND STOPPING POWERS.............. 1269
APPENDIX C: SKIRT DISTRIBUTION. ......... 1269
APPENDIX D: DEPOSITED ENERGY........... 1269
APPENDIX E: THE DIFFUSION PARAMETER
C
2
........................................... 1270
I. INTRODUCTION
The impressive development in micro-/nanofabrication
tools and processes over the past half century has been
closely linked to the integrated circuit IC industry. The
drive has been to economically and reliably pattern as large
an area as possible with ever finer dimensions. The minimum
gate lengths in the most advanced chips are approaching the
32 nm regime, and the semiconductor industry projects that
this figure will be cut at least in half before truly fundamental
limits are reached. An enormous engineering effort over the
past half century has resulted in the ability to “print” com-
plex integrated circuits with nanometer dimensions cheaply
and over large areas, albeit in factories that cost $2 billion.
The patterns on the surface of a wafer are defined in resist
by, usually, optical lithography and then transferred to the
substrate by some material alteration or removal technique.
This is called “planar processing.” We will consider two
“nonplanar” processes of surface alteration: focused electron
beams and focused ion beams.
Nonplanar techniques of fabrication have been developed,
whether for research applications, for potentially novel
manufacturing, or, in special cases, as aids to the IC manu-
facturing process. The techniques for modifying a surface
locally at micron and submicron dimensions or fabricating in
a
Electronic mail: ivo.utke@empa.ch
1198 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1198
J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

three dimensions include laser beams, neutral atom beams,
nanoprobes, as well as electron beams and ion beams. Nano-
probes are unique in that they have been used to move and
place individual atoms as well as to locally modify a surface
chemically or mechanically. On the other hand, photon, elec-
tron, and ion beams are generated remotely and impinge on
the surface to deliver energy that directly removes or modi-
fies the surface or, in the presence of an adsorbed precursor
gas, induces a chemical reaction that deposits or removes
material. The laser based processes were developed in the
1980s and are generally useful for patterning at dimensions
above a micrometer.
1
A. Electron and ion beams
Focused electron and focused ion beams can have diam-
eters below 10 nm and occupy a range between individual
atom manipulation with scanning tunneling microscope
probes and laser beams, both in dimensions and writing
speed. They also have commonalities in how they are gener-
ated and used.
The apparatus used in both cases resembles a scanning
electron microscope. A column about 3050 cm tall and
1520 cm diameter contains a “point” source of electrons or
ions, charged particle lenses that focus this point on the
sample at some energy, and means of deflecting or blanking
off the beam. The range of energies is usually between 1 and
50 keV. The column is mounted on a vacuum chamber that
has an x- y sample stage and in most cases has a means of
introducing a precursor gas. A schematic of the configuration
used for either electron or ion induced processes is shown in
Fig. 1. The gas is introduced to the close proximity of where
the beam is incident on the sample through a needle of
1 mm diameter.
Anyone using a scanning electron microscope SEM has
probably observed that the surface becomes contaminated
with a film during exposure to the beam. The film is pre-
sumed to form from hydrocarbons that are present in the
vacuum system, such as pump oil, which adheres to surfaces
and is altered by the electron beam. From the beginning of
electron microscopy, the presence of contaminant gases and
also the etching of such contamination in the presence of
either water vapor or residual air had been observed.
26
The
contamination deposition phenomenon has, in fact, been ex-
ploited to write sub-100-nm features as early as 1976.
7
Moreover, as early as 1960 gas silicone oil vapor was de-
liberately introduced into a vacuum system and bombarded
with electrons to form a polymer film.
8
It is surprising that
only in the 1980s did researchers deliberately introduce
gases other than pump oils into SEM chambers to deposit
other materials.
911
Although in the following years numer-
ous precursor gases were demonstrated and various struc-
tures fabricated, the practical applications of electron beam
induced processing as a micro-/nanofabrication technique
did not grow rapidly until recently. This is partly due to the
introduction of focused ion beams that were rapidly accepted
as milling tools for micro- and nanofabrication.
The usefulness of a focused beam of ions as a micro-
nanofabrication tool was pointed out as early as 1973.
12,13
Using a conventional ion implanter as the source of ions, a
3
m diameter beam was achieved and some of the possible
applications were demonstrated. Due to the limited bright-
ness of this type of source, the current density at the sample
was only about 10
−4
A/ cm
2
so that any processing was very
slow. Although 10
4
–10
5
time brighter cryogenic sources
were investigated soon thereafter,
13,14
they were difficult to
use and the practical focused ion beam FIB applications did
not develop rapidly until the invention of the gallium liquid
metal ion source.
15,16
Resistless electron beam fabrication
needs a precursor gas unless ultrahigh current densities are
used, while ion beams can directly mill, implant, or damage
material as well as deposit or etch in the presence of a gas
ambient. Thus FIB applications were developed in the inte-
grated circuit industry for photomask repair, fault diagnos-
tics, circuit restructuring, and transmission electron micros-
copy TEM sample preparation. In research various other
applications have been demonstrated, such as device fabrica-
tion by direct, maskless implantation, local damage, and high
resolution secondary ion mass spectrometry SIMS.
Recently electron beam direct fabrication has attracted in-
creasing attention because of the unavoidable ion implanta-
tion and surface damage that accompanies any application of
FIBs. In photomask repair, for example, Ga
+
ions are im-
planted when chromium or other masking material is milled
off. This reduces the optical transmission through the under-
lying quartz. As the wavelengths of the exposing radiation
have decreased to 193 nm, and as the exposure tolerances
have become more stringent, this loss of transmission is no
longer acceptable. Consequently, electron beam induced
etching and deposition using a precursor gas are being de-
veloped for mask repair.
17,18
For other applications, such as
circuit restructuring and fault diagnostics, FIB fabrication
still plays an important role.
The review literature published so far comprises one
review
19
and one book
20
on gas assisted electron beam nano-
fabrication and Monte Carlo MC simulations that were
FIG. 1. Schematics of FIB and FEB nanofabrication systems. Gas injection
systems GIS with external and internal precursor reservoirs are shown.
Beam control is achieved by lithography software addressing pixels within
the field of view for a defined dwell time.
1199 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1199
JVSTB-MicroelectronicsandNanometer Structures

published recently. A number of recent reviews exist for ion
beam nanofabrication
2132
and two books;
33,34
however, they
treat mostly the fundamentals and applications of physical
sputtering milling and review gas-assisted processes at a
technological level or just very briefly.
35
The comparison of
gas-assisted ion and electron beam processing also at a tech-
nological level was given in Ref. 36. Our review is different
in that we focus on the fundamental aspects of gas-assisted
material removal and addition in electron and ion beam pro-
cesses. Because the applications of both beams often aim at
similar goals and because a number of the fundamental as-
pects are similar, we treat both beams together.
B. Electron and ion beam properties
The sophisticated charged particle generation and ma-
nipulation apparatus in the electron and ion columns has
been developed over many decades. We will not review this
development here but rather concentrate on the properties of
the beams incident on the samples, such as beam diameter,
beam manipulation, current density, and energy. These pa-
rameters play a key role in the material removal, deposition,
and alteration processes, which are our main focus.
1. Electron beams
The systems used to produce an incident electron beam of
nanometer dimensions on a surface can be considered in
three categories: SEMs, electron beam lithography systems,
and scanning transmission electron microscopes STEMs.
SEM’s and electron beam lithography systems are in prin-
ciple similar but have some different performance require-
ments. Both strive for minimum beam diameters below
10 nm and maximum current density in the beam. For lithog-
raphy the beam energy is normally in the 20100 keV range
and beam stability or beam placement accuracy on the
sample is of paramount importance. Genuine e-beam lithog-
raphy systems including precision stages have not been, but
certainly could be, used for the kind of processing we are
considering. They are too expensive and are usually dedi-
cated to only resist exposure. For SEMs the beam energy is
usually in the 130 keV range and the minimum beam di-
ameter is near 1 nm. For the fabrication techniques of inter-
est here, modified SEMs have been used because they are
simpler, cheaper, and have easily accessible vacuum cham-
bers. The modification needed is beam placement and scan-
ning control that resembles e-beam lithography but is ex-
tended to cope with other exposure and blank strategies.
STEMs operate at energies in hundreds of keV. The sample
placement is usually quite restrictive since it has to be inside
an electron lens. The properties of electron beam systems
important to beam induced processing are summarized in
Table I.
2. Focused ion beams
The FIB apparatus externally is very similar to the elec-
tron beam apparatus. In fact there are dual beam systems that
have both an electron beam column and an ion beam column
mounted on the same chamber.
A focused ion beam system can be regarded as composed
of three parts: the source, the ion optical column, and the
stage and beam control. The design and performance of these
systems has been treated extensively elsewhere.
34
The ion source most often used today is the liquid metal
ion source. It consists of a reservoir of liquid metal, which
feeds the liquid metal to a sharpened needle, usually tung-
sten. This source has a high brightness, typically
10
6
A/ cm
2
sr. The ion species used almost exclusively in
the applications we are considering here is Ga
+
. Since the
gallium ion has effects on the processing other than just en-
ergy delivery to the surface damage, amorphization, and im-
plantation, we need to mention other ion species that may
turn out to be important in future ion beam processing.
Alloys can also be used, for example, Au/ Si, Au/ Si/ Be,
or Pd/ As/ B. The liquid alloy has to have a low enough
vapor pressure to operate in vacuum, and not react with, yet
wet, the needle. For the alloy a crossed-electric and
magnetic-field filter is used in the column to select the de-
sired ion species. Other species not available in liquid metal
form, such as hydrogen or noble elements, may be desirable
in many cases since they would not contaminate the sample
the way Ga or other metal ions would. For these species the
TABLE I. Properties of electron beam systems. The numbers quoted are very approximate. The minimum beam diameter in any system in practice depends also
on electronic noise and vibration. As the beam acceptance angle is increased, the beam current and the beam diameter increase but over much of the range the
current density incident on the sample remains approximately constant. Also as the beam energy is lowered, the beam diameter increases.
Energy
of beam
keV
Minimum
beam
diameter nm
Current
density
A/ cm
2
Remarks
SEM—field emission
electron source
1–30 1–10 2000 Beam steering and blanking needs
to be added for all SEMs.
Processing results can be imaged
immediately. Stage tilt available.
SEMLaB
6
source
1–30 3–10 20
SEM—W source 1–30 5–10 2
E-beam lithography 20–100 5–10 1000 No stage tilt. Sophisticated beam
placement and blanking. Planar
imaging.
STEM
200+
0.2
10
5
Restricted sample insertion.
1200 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1200
J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

gas field ion source and the gaseous plasma source have been
considered. The former, although brighter than the liquid
metal, has to operate at cryogenic temperatures and is hard to
use. The plasma source is orders of magnitude less bright
than the liquid metal but relatively robust and easy to use.
The plasma source has the advantage that many species of
ions can be used and, in special circumstances, for example,
when an intense beam is needed at larger dimensions, it may
outperform the liquid metal ion source in spite of the limited
brightness. The sources and their properties are summarized
in Table II.
The details of the surface alteration effects that a beam of
electrons or ions produces are determined by the spatial de-
pendence of the current density or particle flux in the beam.
The most important factors determining the current density
distribution are the source of the particle current ideally a
point source and the charged particle optical column, which
ideally focuses this point source on the sample.
C. Characteristics of incident primary electron and
ion beams
According to Fig. 2, for an astigmatism and aberration-
free incident beam, the central part of the radial flux distri-
bution incident on a plane surface can be well described by a
Gaussian,
fr =
I
P
/e
0
2
a
2
exp
r
2
2a
2
, 1.1
where fr is in electrons or ions per unit area and time, a is
the standard deviation, I
P
is the beam current, and e
0
is the
elementary charge. I
P
can be measured in a Faraday cage.
The standard deviation can be derived from knife edge
measurements,
43,44
resolution measurements of Au spheres
on C,
45
or from the frequency spectrum of specifically de-
fined patterns.
46,47
The latter method and the knife edge
method have the advantage of being an operator independent
measurement. The frequency spectrum of images with non-
specific patterns is also used but the relation of such deter-
mined beam size to the standard deviation depends on the
individual signal to noise cutoff.
48
Different definitions of
beam size are in use. For any incident peak function, one can
define the widths at 1/ e,1/ e
2
e=Euler’s constant, the full
width at half maximum FWHM, and full widths FW
comprising 50% or 90% of all electrons or ions. For the
TABLE II. Comparison of various ion sources. Unnormalized brightness is most often quoted in the literature. To get normalized reduced brightness divide
by the extraction voltage typically about 10 kV. The total beam current and the beam diameter usually taken as FWHM see below depend on the acceptance
angle, but the current density remains constant over much of the range.
Type of
ion source
Ion
species
Unnormalized
brightness A/ cm
2
sr
Current density
on sample
A/ cm
2
Minimum beam
diameter nm
at 30 kV Ref.
Liquid metal
Ga
+
3 10
6
10 10 15 and 16
Liquid
metal alloy
a
Au/ Si/
Be
10
5
depends on
the % of the desired
species in beam
0.1–1.0 50 37
Gas field ion supertip
He
+
5 10
9
1000 5 38 and 39
Gas field ion source
He
+
4 10
9
¯ 0.6 40 and 41
Multicusp plasma
Kr
+
0.5510
3
1.210
−2
100 42
a
For a table of other alloys and references, see Ref. 32.
FIG. 2. Distribution of focused electron and ion beams. a Measured FEB distribution 20 keV: the solid line is a Gaussian with FWHM=4.4 nm. Courtesy
of S. Babin, Abeam Technologies. b Simulated Holtsmark dìstribution for 30 keV Ga
+
ions at 1 pA. The FIB has a FWHM=7 nm but extends to 70 nm.
Based on Ref. 52, courtesy V. Callegari, Empa.
1201 Utke, Hoffmann, and Melngailis: Gas-assisted focused electron beam and ion beam processing 1201
JVSTB-MicroelectronicsandNanometer Structures

Citations
More filters
Journal ArticleDOI
TL;DR: In this article, the authors review recent progress in the understanding of effects of irradiation on various zero-dimensional and one-dimensional nanoscale systems, such as semiconductor and metal nanoclusters and nanowires, nanotubes, and fullerenes.
Abstract: A common misconception is that the irradiation of solids with energetic electrons and ions has exclusively detrimental effects on the properties of target materials. In addition to the well-known cases of doping of bulk semiconductors and ion beam nitriding of steels, recent experiments show that irradiation can also have beneficial effects on nanostructured systems. Electron or ion beams may serve as tools to synthesize nanoclusters and nanowires, change their morphology in a controllable manner, and tailor their mechanical, electronic, and even magnetic properties. Harnessing irradiation as a tool for modifying material properties at the nanoscale requires having the full microscopic picture of defect production and annealing in nanotargets. In this article, we review recent progress in the understanding of effects of irradiation on various zero-dimensional and one-dimensional nanoscale systems, such as semiconductor and metal nanoclusters and nanowires, nanotubes, and fullerenes. We also consider the t...

905 citations

Journal ArticleDOI
TL;DR: This work reviews the creation of three-dimensional nanomagnets and their implications for the emergence of new physics, the development of instrumentation and computational methods, and exploitation in numerous applications.
Abstract: Magnetic nanostructures are being developed for use in many aspects of our daily life, spanning areas such as data storage, sensing and biomedicine. Whereas patterned nanomagnets are traditionally two-dimensional planar structures, recent work is expanding nanomagnetism into three dimensions; a move triggered by the advance of unconventional synthesis methods and the discovery of new magnetic effects. In three-dimensional nanomagnets more complex magnetic configurations become possible, many with unprecedented properties. Here we review the creation of these structures and their implications for the emergence of new physics, the development of instrumentation and computational methods, and exploitation in numerous applications.

438 citations

Journal ArticleDOI
TL;DR: In this article, a three-dimensional linear-tapering approach was proposed to focus 830 nm light into a 2 × 5 nm^2 area with ≤3 dB loss and an intensity enhancement of 3.0 × 10^4.
Abstract: The development of techniques for efficiently confining photons on the deep sub-wavelength spatial scale will revolutionize scientific research and engineering practices. The efficient coupling of light into extremely small nanofocusing devices has been a major challenge in on-chip nanophotonics because of the need to overcome various loss mechanisms and the on-chip nanofabrication challenges. Here, we demonstrate experimentally the achievement of highly efficient nanofocusing in an Au–SiO_2–Au gap plasmon waveguide using a carefully engineered three-dimensional taper. The dimensions of the SiO_2 layer, perpendicular to the direction of wave propagation, taper linearly below 100 nm. Our simulations suggest that the three-dimensional linear-tapering approach could focus 830 nm light into a 2 × 5 nm^2 area with ≤3 dB loss and an intensity enhancement of 3.0 × 10^4. In a two-photon luminescence measurement, our device achieved an intensity enhancement of 400 within a 14 × 80 nm2 area, and a transmittance of 74%.

318 citations


Cites background from "Gas-assisted focused electron beam ..."

  • ...12 the scan time, the thicker the deposited oxide layer) and electron-high-tension (EHT) voltage of the electron gun (the lower the EHT voltage, the thicker the deposited oxide layer) [7]....

    [...]

Journal ArticleDOI
TL;DR: Functional tunneling devices were identified by tunneling spectroscopy in different solvents and then used in proof-of-principle experiments demonstrating, for the first time, concurrent tunneling detection and ionic current detection of DNA molecules in a nanopore platform.
Abstract: We report on the fabrication and characterization of a DNA nanopore detector with integrated tunneling electrodes. Functional tunneling devices were identified by tunneling spectroscopy in different solvents and then used in proof-of-principle experiments demonstrating, for the first time, concurrent tunneling detection and ionic current detection of DNA molecules in a nanopore platform. This is an important step toward ultrafast DNA sequencing by tunneling.

295 citations

Journal ArticleDOI
TL;DR: In this paper, a review and comparison of the techniques specifically aimed at purifying impure electron-beam-induced deposition (EBID) structures is presented, and the authors highlight some general trends: application of heat (during or after deposition) is usually beneficial to some extent; working in a favorable residual gas (ultra-high vacuum set-ups or plasma cleaning the chamber) is highly recommended; gas mixing approaches are extremely variable and not always reproducible between research groups; and carbon-free precursors are promising but tend to result in oxygen being the contaminant
Abstract: The creation of functional nanostructures by electron-beam-induced deposition (EBID) is becoming more widespread. The benefits of the technology include fast 'point-and-shoot' creation of three-dimensional nanostructures at predefined locations directly within a scanning electron microscope. One significant drawback to date has been the low purity level of the deposition. This has two independent causes: (1) partial or incomplete decomposition of the precursor molecule and (2) contamination from the residual chamber gas. This frequently limits the functionality of the structure, hence it is desirable to improve the decomposition and prevent the inclusion of contaminants. In this contribution we review and compare for the first time all the techniques specifically aimed at purifying the as-deposited impure EBID structures. Despite incomplete and scattered data, we observe some general trends: application of heat (during or after deposition) is usually beneficial to some extent; working in a favorable residual gas (ultra-high vacuum set-ups or plasma cleaning the chamber) is highly recommended; gas mixing approaches are extremely variable and not always reproducible between research groups; and carbon-free precursors are promising but tend to result in oxygen being the contaminant species rather than carbon. Finally we highlight a few novel approaches.

283 citations

References
More filters
Journal ArticleDOI
S. Agostinelli1, John Allison2, K. Amako3, J. Apostolakis4, Henrique Araujo5, P. Arce4, Makoto Asai6, D. Axen4, S. Banerjee7, G. Barrand, F. Behner4, Lorenzo Bellagamba8, J. Boudreau9, L. Broglia10, A. Brunengo8, H. Burkhardt4, Stephane Chauvie, J. Chuma11, R. Chytracek4, Gene Cooperman12, G. Cosmo4, P. V. Degtyarenko13, Andrea Dell'Acqua4, G. Depaola14, D. Dietrich15, R. Enami, A. Feliciello, C. Ferguson16, H. Fesefeldt4, Gunter Folger4, Franca Foppiano, Alessandra Forti2, S. Garelli, S. Gianì4, R. Giannitrapani17, D. Gibin4, J. J. Gomez Y Cadenas4, I. González4, G. Gracia Abril4, G. Greeniaus18, Walter Greiner15, Vladimir Grichine, A. Grossheim4, Susanna Guatelli, P. Gumplinger11, R. Hamatsu19, K. Hashimoto, H. Hasui, A. Heikkinen20, A. S. Howard5, Vladimir Ivanchenko4, A. Johnson6, F.W. Jones11, J. Kallenbach, Naoko Kanaya4, M. Kawabata, Y. Kawabata, M. Kawaguti, S.R. Kelner21, Paul R. C. Kent22, A. Kimura23, T. Kodama24, R. P. Kokoulin21, M. Kossov13, Hisaya Kurashige25, E. Lamanna26, Tapio Lampén20, V. Lara4, Veronique Lefebure4, F. Lei16, M. Liendl4, W. S. Lockman, Francesco Longo27, S. Magni, M. Maire, E. Medernach4, K. Minamimoto24, P. Mora de Freitas, Yoshiyuki Morita3, K. Murakami3, M. Nagamatu24, R. Nartallo28, Petteri Nieminen28, T. Nishimura, K. Ohtsubo, M. Okamura, S. W. O'Neale29, Y. Oohata19, K. Paech15, J Perl6, Andreas Pfeiffer4, Maria Grazia Pia, F. Ranjard4, A.M. Rybin, S.S Sadilov4, E. Di Salvo8, Giovanni Santin27, Takashi Sasaki3, N. Savvas2, Y. Sawada, Stefan Scherer15, S. Sei24, V. Sirotenko4, David J. Smith6, N. Starkov, H. Stoecker15, J. Sulkimo20, M. Takahata23, Satoshi Tanaka30, E. Tcherniaev4, E. Safai Tehrani6, M. Tropeano1, P. Truscott31, H. Uno24, L. Urbán, P. Urban32, M. Verderi, A. Walkden2, W. Wander33, H. Weber15, J.P. Wellisch4, Torre Wenaus34, D.C. Williams, Douglas Wright6, T. Yamada24, H. Yoshida24, D. Zschiesche15 
TL;DR: The Gelfant 4 toolkit as discussed by the authors is a toolkit for simulating the passage of particles through matter, including a complete range of functionality including tracking, geometry, physics models and hits.
Abstract: G eant 4 is a toolkit for simulating the passage of particles through matter. It includes a complete range of functionality including tracking, geometry, physics models and hits. The physics processes offered cover a comprehensive range, including electromagnetic, hadronic and optical processes, a large set of long-lived particles, materials and elements, over a wide energy range starting, in some cases, from 250 eV and extending in others to the TeV energy range. It has been designed and constructed to expose the physics models utilised, to handle complex geometries, and to enable its easy adaptation for optimal use in different sets of applications. The toolkit is the result of a worldwide collaboration of physicists and software engineers. It has been created exploiting software engineering and object-oriented technology and implemented in the C++ programming language. It has been used in applications in particle physics, nuclear physics, accelerator design, space engineering and medical physics.

18,904 citations

Journal ArticleDOI
12 Feb 1998-Nature
TL;DR: In this article, the optical properties of submicrometre cylindrical cavities in metallic films were explored and it was shown that arrays of such holes display highly unusual zero-order transmission spectra at wavelengths larger than the array period, beyond which no diffraction occurs.
Abstract: The desire to use and control photons in a manner analogous to the control of electrons in solids has inspired great interest in such topics as the localization of light, microcavity quantum electrodynamics and near-field optics1,2,3,4,5,6. A fundamental constraint in manipulating light is the extremely low transmittivity of apertures smaller than the wavelength of the incident photon. While exploring the optical properties of submicrometre cylindrical cavities in metallic films, we have found that arrays of such holes display highly unusual zero-order transmission spectra (where the incident and detected light are collinear) at wavelengths larger than the array period, beyond which no diffraction occurs. In particular, sharp peaks in transmission are observed at wavelengths as large as ten times the diameter of the cylinders. At these maxima the transmission efficiency can exceed unity (when normalized to the area of the holes), which is orders of magnitude greater than predicted by standard aperture theory. Our experiments provide evidence that these unusual optical properties are due to the coupling of light with plasmons — electronic excitations — on the surface of the periodically patterned metal film. Measurements of transmission as a function of the incident light angle result in a photonic band diagram. These findings may find application in novel photonic devices.

7,316 citations

Journal ArticleDOI
28 Jan 2000-Science
TL;DR: The tensile strengths of individual multiwalled carbon nanotubes (MWCNTs) were measured with a "nanostressing stage" located within a scanning electron microscope and a variety of structures were revealed, such as a nanotube ribbon, a wave pattern, and partial radial collapse.
Abstract: The tensile strengths of individual multiwalled carbon nanotubes (MWCNTs) were measured with a “nanostressing stage” located within a scanning electron microscope. The tensile-loading experiment was prepared and observed entirely within the microscope and was recorded on video. The MWCNTs broke in the outermost layer (“sword-in-sheath” failure), and the tensile strength of this layer ranged from 11 to 63 gigapascals for the set of 19 MWCNTs that were loaded. Analysis of the stress-strain curves for individual MWCNTs indicated that the Young's modulus E of the outermost layer varied from 270 to 950 gigapascals. Transmission electron microscopic examination of the broken nanotube fragments revealed a variety of structures, such as a nanotube ribbon, a wave pattern, and partial radial collapse.

5,011 citations

Journal ArticleDOI
TL;DR: In this article, a Monte Carlo computer program was developed for determining ion range and damage distributions as well as angular and energy distributions of backscattered and transmitted ions in amorphous targets.

4,204 citations

Frequently Asked Questions (1)
Q1. What contributions have the authors mentioned in the paper "Gas-assisted focused electron beam and ion beam processing and fabrication" ?

The authors will focus here on direct fabrication rather than lithography, which is indirect in that it uses the intermediary of resist. The authors will also discuss structures that are made for research purposes or for demonstration of the processing capabilities. The atomic level mechanisms responsible for the precursor gas activation have not been studied in detail in many cases. The authors will review the state of the art and level of understanding of direct ion and electron beam fabrication and point out some of the unsolved problems.