scispace - formally typeset
Search or ask a question
Proceedings ArticleDOI

High performance 14nm SOI FinFET CMOS technology with 0.0174µm 2 embedded DRAM and 15 levels of Cu metallization

C-H. Lin1, Brian J. Greene1, Shreesh Narasimha1, J. Cai1, A. Bryant1, Carl J. Radens1, Vijay Narayanan1, Barry Linder1, Herbert L. Ho1, A. Aiyar1, E. Alptekin1, J-J. An1, Michael V. Aquilino1, Ruqiang Bao1, V. Basker1, Nicolas Breil1, MaryJane Brodsky1, William Y. Chang1, Clevenger Leigh Anne H1, Dureseti Chidambarrao1, Cathryn Christiansen1, D. Conklin1, C. DeWan1, H. Dong1, L. Economikos1, Bernard A. Engel1, Sunfei Fang1, D. Ferrer1, A. Friedman1, Allen H. Gabor1, Fernando Guarin1, Ximeng Guan1, M. Hasanuzzaman1, J. Hong1, D. Hoyos1, Basanth Jagannathan1, S. Jain1, S.-J. Jeng1, J. Johnson1, B. Kannan1, Y. Ke1, Babar A. Khan1, Byeong Y. Kim1, Siyuranga O. Koswatta1, Amit Kumar1, T. Kwon1, Unoh Kwon1, L. Lanzerotti1, H-K Lee1, W-H. Lee1, A. Levesque1, Wai-kin Li1, Zhengwen Li1, Wei Liu1, S. Mahajan1, Kevin McStay1, Hasan M. Nayfeh1, W. Nicoll1, G. Northrop1, A. Ogino1, Chengwen Pei1, S. Polvino1, Ravikumar Ramachandran1, Z. Ren1, Robert R. Robison1, Saraf Iqbal Rashid1, Viraj Y. Sardesai1, S. Saudari1, Dominic J. Schepis1, Christopher D. Sheraw1, Shariq Siddiqui1, Liyang Song1, Kenneth J. Stein1, C. Tran1, Henry K. Utomo1, Reinaldo A. Vega1, Geng Wang1, Han Wang1, W. Wang1, X. Wang1, D. Wehelle-Gamage1, E. Woodard1, Yongan Xu1, Y. Yang1, N. Zhan1, Kai Zhao1, C. Zhu1, K. Boyd1, E. Engbrecht1, K. Henson1, E. Kaste1, Siddarth A. Krishnan1, Edward P. Maciejewski1, Huiling Shang1, Noah Zamdmer1, R. Divakaruni1, J. Rice1, Scott R. Stiffler1, Paul D. Agnello1 
01 Dec 2014-
TL;DR: In this article, the authors present a fully integrated 14nm CMOS technology featuring fin-FET architecture on an SOI substrate for a diverse set of SoC applications including HP server microprocessors and LP ASICs.
Abstract: We present a fully integrated 14nm CMOS technology featuring finFET architecture on an SOI substrate for a diverse set of SoC applications including HP server microprocessors and LP ASICs. This SOI finFET architecture is integrated with a 4th generation deep trench embedded DRAM to provide an ultra-dense (0.0174um2) memory solution for industry leading ‘scale-out’ processor design. A broad range of Vts is enabled on chip through a unique dual workfunction process applied to both NFETs and PFETs. This enables simultaneous optimization of both lowVt (HP) and HiVt (LP) devices without reliance on problematic approaches like heavy doping or Lgate modulation to create Vt differentiation. The SOI finFET's excellent subthreshold behavior allows gate length scaling to the sub 20nm regime and superior low Vdd operation. This leads to a substantial (>35%) performance gain for Vdd ∼0.8V compared to the HP 22nm planar predecessor technology. At the same time, the exceptional FE/BE reliability enables high Vdd (>1.1V) operation essential to the high single thread performance for processors intended for ‘scale-up’ enterprise systems. A hierarchical BEOL with 15 levels of copper interconnect delivers both high performance wire-ability as well as effective power supply and clock distribution for very large >600mm2 SoCs.
Citations
More filters
Journal ArticleDOI
TL;DR: A high density, low-power standard cell architecture, developed using design/technology co-optimization (DTCO), as well as example SRAM cells are shown, and the PDK transistor electrical assumptions are explained, as are the FEOL and BEOL design rules.

326 citations

Proceedings ArticleDOI
29 Mar 2015
TL;DR: The proposed cell library is intended to provide access to advanced technology node for universities and other research institutions, in order to design digital integrated circuits and also to develop cell-based design flows, EDA tools and associated algorithms.
Abstract: This paper presents the 15nm FinFET-based Open Cell Library (OCL) and describes the challenges in the methodology while designing a standard cell library for such advanced technology node The 15nm OCL is based on a generic predictive state-of-the-art technology node The proposed cell library is intended to provide access to advanced technology node for universities and other research institutions, in order to design digital integrated circuits and also to develop cell-based design flows, EDA tools and associated algorithms Developing a 15nm standard cell library brings out design challenges which are not present in previous technology nodes Some of these challenges include double-patterning for both metal and poly layers, a very restrictive set of physical design rules, and the demand for lithography-friendly patterns This paper discusses the development of the library considering the challenges associated with advanced technology nodes

194 citations

Journal ArticleDOI
TL;DR: In this paper, the integration strategy of electronic and photonic ICs, 300mm process flow, and process variability are discussed, and performances of the passive and active optical devices are shown.
Abstract: Industrial implementation of a silicon photonics platform using 300-mm SOI wafers and aiming at 100 Gb/s aggregate data-rate application is demonstrated. The integration strategy of electronic and photonic ICs, 300-mm process flow, and process variability are discussed, and performances of the passive and active optical devices are shown. An example of a low-cost LGA-based package together with a fiber assembly is given. RX and TX circuits operating at 25 Gb/s are demonstrated. Finally, the process evolution toward the integration of the backside reflector and multiple silicon etching level is demonstrated.

102 citations


Additional excerpts

  • ...architecture such as SOI-FinFETs [10] (Tsi<0....

    [...]

  • ...Also, the thickness of the Si film can greatly differ between Si-Photonics (0.2–0.5 μm) and some CMOS device architecture such as SOI-FinFETs [10] (Tsi 0.1 μm) or UTBBSOI (Tsi 10 nm)....

    [...]

Journal ArticleDOI
TL;DR: In this article, a survey of the state-of-the-art software-defined radio (SDR) platforms in the context of wireless communication protocols is presented, with a focus on programmability, flexibility, portability, and energy efficiency.

91 citations

Proceedings ArticleDOI
30 Oct 2015
TL;DR: The core model is updated with a new unified FinFET model, which calculates charges and currents of transistors with complex fin cross-sections and threshold voltage modulation from bulk-bias effects and bias dependent quantum mechanical confinement effects are incorporated into the new core model.
Abstract: This work presents new compact models that capture advanced physical effects presented in industry FinFETs. The presented models are introduced into the industry standard compact model BSIM-CMG. The core model is updated with a new unified FinFET model, which calculates charges and currents of transistors with complex fin cross-sections. In addition, threshold voltage modulation from bulk-bias effects and bias dependent quantum mechanical confinement effects are incorporated into the new core model. Short channel effects, affecting threshold voltage and subhtreshold swing, are modeled with a new unified field penetration length, enabling accurate 14nm node FinFET modeling. The new proposed models further assure the BSIM-CMG model's capabilities for circuit design using FinFET transistors for advanced technology nodes.

66 citations


Additional excerpts

  • ...1) has been adopted in all sub-20nm IC technologies [2]–[5] as a replacement of the conventional bulk planar technology....

    [...]

Related Papers (5)