scispace - formally typeset
Search or ask a question
Journal ArticleDOI

IC thermal simulation and modeling via efficient multigrid-based approaches

TL;DR: This paper demonstrates that only with careful thermal modeling assumptions and appropriate choices for grid hierarchy, MG operators, and smoothing steps across grid points can a full-chip thermal problem be accurately and efficiently analyzed.
Abstract: The ever-increasing power consumption and packaging density of integrated systems creates on-chip temperatures and gradients that can have a substantial impact on performance and reliability. While it is conceptually understood that a thermal equivalent circuit can be constructed to characterize the temperature gradients across the chip, direct and iterative solutions of the corresponding three-dimensional (3-D) equations are often intractable for a full-chip analysis. Integrated circuit (IC)-specific multigrid (MG) techniques for fast chip level thermal steady-state and transient simulation are proposed. This approach avoids an explicit construction of the matrix problem that is intractable for most full-chip problems. Specific MG treatments are proposed to cope with the strong anisotropy of the full-chip thermal problem that is created by the vast difference in material thermal properties and chip geometries. Importantly, this paper demonstrates that only with careful thermal modeling assumptions and appropriate choices for grid hierarchy, MG operators, and smoothing steps across grid points can a full-chip thermal problem be accurately and efficiently analyzed. This paper further speeds up the large thermal transient simulations by incorporating reduced-order thermal models that can be efficiently extracted under the same MG framework. The experiments carried out in this work have shown that the proposed methodology provides sufficient efficiency in both runtime and memory usage
Citations
More filters
Proceedings ArticleDOI
26 Apr 2009
TL;DR: The differences between two cooling configurations—forced air flow over a copper heatsink (AIR-SINK) and laminar oil flow over bare silicon (OIL-SILICON) are characterized and imply that the power- and temperature-aware design process cannot just rely on IR measurements.
Abstract: In temperature-aware design, the presence or absence of a heatsink fundamentally changes the thermal behavior with important design implications. In recent years, chip-level infrared (IR) thermal imaging has been gaining popularity in studying thermal phenomena and thermal management, as well as reverse-engineering chip power consumption. Unfortunately, IR thermal imaging needs a peculiar cooling solution, which removes the heatsink and applies an IR-transparent liquid flow over the exposed bare die to carry away the dissipated heat. Because this cooling solution is drastically different from a normal thermal package, its thermal characteristics need to be closely examined. In this paper, we characterize the differences between two cooling configurations—forced air flow over a copper heatsink (AIR-SINK) and laminar oil flow over bare silicon (OIL-SILICON). For the comparison, we modify the HotSpot thermal model by adding the IR-transparent oil flow and the secondary heat transfer path through the package pins, hence modeling what the IR camera actually sees at runtime. We show that OIL-SILICON and AIR-SINK are significantly different in both transient and steady-state thermal responses. OIL-SILICON has a much slower short-term transient response, which makes dynamic thermal management less efficient. In addition, for OIL-SILICON, the direction of oil flow plays an important role by changing hot spot location, thus impacting hot spot identification and thermal sensor placement. These results imply that the power- and temperature-aware design process cannot just rely on IR measurements. Simulation and IR measurement are both needed and are complementary techniques.

82 citations


Cites background from "IC thermal simulation and modeling ..."

  • ...There has been abundant work on designtime full-chip thermal models, such as [11, 16, 17, 18]....

    [...]

Proceedings ArticleDOI
08 Jun 2008
TL;DR: This paper presents several case studies that are uniquely enhanced through 3D implementation, including a 3D CAM, an FFT processor, and a SAR processor, which requires higher fidelity thermal modeling than 2DIC design.
Abstract: High density through silicon vias (TSV) can be used to build 3DICs that enable unique applications in computing, signal processing and memory intensive systems. This paper presents several case studies that are uniquely enhanced through 3D implementation, including a 3D CAM, an FFT processor, and a SAR processor. The CAD flow used to implement for these designs is described. 3DIC requires higher fidelity thermal modeling than 2DIC design. The rationale for this requirement is established and a possible solution is presented.

75 citations

Proceedings ArticleDOI
19 Jan 2009
TL;DR: The challenges and solutions in the domain of addressing two critical issues in 3D integrated circuits, thermal problems and power delivery bottlenecks are presented.
Abstract: The enhanced packing densities facilitated by 3D integrated circuit technology also has an unwanted side-effect, in the form of increasing the amount of current per unit footprint of the chip, as compared to a 2D design. This has ramifications on two critical issues: firstly, it means that more heat is generated per unit footprint, potentially leading to thermal problems, and secondly, more current must be supplied per package pin, leading to possible power delivery bottlenecks. This paper presents an overview of the challenges and solutions in the domain of addressing these two issues in 3D integrated circuits.

42 citations

Journal ArticleDOI
TL;DR: The dependence behavior among the process variability, leakage power consumption, and thermal profile construction are established to effectively extract a reliable statistical thermal profile over a die at the microarchitectural level.
Abstract: The nonuniform substrate thermal profile and process variations are two major concerns in the present-day ultra-deep submicrometer designs. To correctly predict performance/ leakage/reliability measures and address any yield losses during the early stages of design phases, it is desirable to have a reliable thermal estimation of the chip. However, the leakage power sources vary greatly due to process variations and temperature, which result in significant variations in the hotspot and thermal profile formation in very large scale integration chips. Traditionally, no leakage variations have been considered during full-chip thermal analysis. In this paper, the dependence behavior among the process variability, leakage power consumption, and thermal profile construction are established to effectively extract a reliable statistical thermal profile over a die at the microarchitectural level. Knowledge of this is the key to the design and analysis of circuits. The probability density functions of temperatures are extracted while considering the leakage variations due to the gate-length and oxide-thickness variations and while accounting for the coupling between the temperature and the total leakage. Two applications of the developed analyzer are investigated, namely, the evaluation of the hotspots' relocations and the total full-chip power estimation. Finally, the accuracy and efficiency of the developed analyzer are validated by comparisons with Monte Carlo simulations.

41 citations


Cites methods from "IC thermal simulation and modeling ..."

  • ...Another enhanced FDM-based IC thermal analyzer is proposed in [9] based on the multigrid technique for a large sparse system of linear equations, which is suitable for a large number of meshes in detailed thermal analysis....

    [...]

Journal ArticleDOI
TL;DR: An accurate and fast analytical full-chip thermal simulator for early-stage temperature-aware chip design by using the generalized integral transforms (GIT), and a fast Fourier transform like evaluating algorithm is developed to efficiently evaluate the derived formulation.
Abstract: The capability of predicting the temperature profile is critically important for timing estimation, leakage reduction, power estimation, hotspot avoidance and reliability concerns during modern IC design. This paper presents an accurate and fast analytical full-chip thermal simulator for early-stage temperature-aware chip design. By using the generalized integral transforms (GIT), an accurate formulation is derived to estimate the temperature distribution of full-chip with a truncated set of spatial bases which only needs very small truncation points. Then, we develop a fast Fourier transform like evaluating algorithm to efficiently evaluate the derived formulation. Experimental results confirm that the proposed GIT-based analyzer can achieve an order of magnitude speedup compared with a highly efficient Green's function-based thermal simulator. Finally, we propose a 3-D IC thermal simulator and demonstrate its efficiency and accuracy.

40 citations


Cites background or methods from "IC thermal simulation and modeling ..."

  • ...Moreover, when calculating the steady state temperature, the input power profile is usually set to the steady power profile (the average power profile for a very long time period estimation) [1], [2], [4], [6], [7], therefore, can be reasonably viewed as a step function with the magnitude being equal to its average power density for a long time period....

    [...]

  • ...[4] applied the multi-grid method to speed up the convergence rate of iterative methods, and developed an order reduction scheme to save the runtime of dynamic thermal simulation....

    [...]

  • ...However, the dynamic thermal analysis is also necessary while performing the dynamic thermal management and runtime thermal analysis [1], [4], [7]....

    [...]

  • ...Based on this heat conduction mechanism, the temperature of die can be governed by the following heat transfer equations [2], [4], [5], [7]:...

    [...]

References
More filters
Book
01 Jan 1987
TL;DR: This paper presents an implementation of Multilevel adaptive methods for Algebraic multigrid (AMG), a version of which has already been described in more detail in the preface.
Abstract: Preface 1. Model problems 2. Basic iterative methods 3. Elements of multigrid 4. Implementation 5. Some theory 6. Nonlinear problems 7. Selected applications 8. Algebraic multigrid (AMG) 9. Multilevel adaptive methods 10. Finite elements Bibliography Index.

2,505 citations


"IC thermal simulation and modeling ..." refers background or methods in this paper

  • ...They are optimal in a sense that the time complexity is linear in the number of unknowns (h-independent convergence) with a small constant factor [ 16 ], [17]....

    [...]

  • ...As a result, IC power density has been rapidly increasing with Moore’s law, and is now projected as a potential show stopper for future performance improvements [ 1 ]....

    [...]

  • ...The standard MG V-cycles correspond to m =1 and W-cycles to m =2 . More details on MG methods and definitions can be found in [ 16 ], [17], [20], and [21]....

    [...]

  • ...The multigrid (MG) method, as a multilevel iterative scheme, has become increasingly popular for solving a class of PDE problems due to its superior efficiency in the computational fluidic dynamics (CFD) community [ 16 ], [17]....

    [...]

Journal ArticleDOI
TL;DR: In this article, an algorithm for generating provably passive reduced-order N-port models for linear RLC interconnect circuits is described, in which, in addition to macromodel stability, passivity is needed to guarantee the overall circuit stability.
Abstract: This paper describes an algorithm for generating provably passive reduced-order N-port models for RLC interconnect circuits. It is demonstrated that, in addition to macromodel stability, macromodel passivity is needed to guarantee the overall circuit stability once the active and passive driver/load models are connected. The approach proposed here, PRIMA, is a general method for obtaining passive reduced-order macromodels for linear RLC systems. In this paper, PRIMA is demonstrated in terms of a simple implementation which extends the block Arnoldi technique to include guaranteed passivity while providing superior accuracy. While the same passivity extension is not possible for MPVL, comparable accuracy in the frequency domain for all examples is observed.

1,465 citations


"IC thermal simulation and modeling ..." refers background or methods in this paper

  • ...One benefit of using the passive model order reduction technique of [ 23 ] for SIMO-based reduction is that even though the passivity is not guaranteed, the reduced SIMO models, however, are always stable....

    [...]

  • ...To use the Krylov subspace technique of [ 23 ], one needs to compute an orthonormal basis V of the Krylov subspace defined as colspan{r, A −1 r, A −2 r, ... }, where A = G −1 C, r = G −1 b. The reduced SIMO model is defined using the reduced system matrices with smaller dimensions: G = V T GV , C = V T CV, b = V T b. The major computation involved in model construction is due to the multiple linear system solutions defined by matrix G. ......

    [...]

  • ...Then, a SPICE-like simulation technique can be applied to the equivalent RC circuit to provide the thermal transient response [ 2 ], [4], [6]....

    [...]

  • ...In [ 2 ], [4], and [6], the authors simulate the full-chip temperature profile by discretizing the partial differential equation (PDE) of heat conduction using finite difference and finite element methods....

    [...]

  • ...First, high temperature and hot spots degrade the reliability of interconnects and transistors [ 2 ], [3]....

    [...]

Proceedings ArticleDOI
01 May 2003
TL;DR: HotSpot is described, an accurate yet fast model based on an equivalent circuit of thermal resistances and capacitances that correspond to microarchitecture blocks and essential aspects of the thermal package that shows that power metrics are poor predictors of temperature, and that sensor imprecision has a substantial impact on the performance of DTM.
Abstract: With power density and hence cooling costs rising exponentially, processor packaging can no longer be designed for the worst case, and there is an urgent need for runtime processor-level techniques that can regulate operating temperature when the package's capacity is exceeded. Evaluating such techniques, however, requires a thermal model that is practical for architectural studies.This paper describes HotSpot, an accurate yet fast model based on an equivalent circuit of thermal resistances and capacitances that correspond to microarchitecture blocks and essential aspects of the thermal package. Validation was performed using finite-element simulation. The paper also introduces several effective methods for dynamic thermal management (DTM): "temperature-tracking" frequency scaling, localized toggling, and migrating computation to spare hardware units. Modeling temperature at the microarchitecture level also shows that power metrics are poor predictors of temperature, and that sensor imprecision has a substantial impact on the performance of DTM.

1,252 citations

Book
01 Jan 1968

846 citations

Book ChapterDOI
01 Jan 1982

574 citations


"IC thermal simulation and modeling ..." refers background in this paper

  • ...…· ◦C)], k is the thermal conductivity of the material (W/m2 · ◦C), g is the power density of the heat sources (W/m3), and ni, hi, and fi are the outward direction normal to the boundary surface i, heat transfer coefficient [W/(m2 · ◦C)], and an arbitrary function at the surface i, respectively....

    [...]

  • ...Since the matrix corresponding to (3) can be formulated as symmetric positive definite (SPD), MG iterative methods are applicable and become a good choice....

    [...]