scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Optimization of NCFET by Matching Dielectric and Ferroelectric Nonuniformly Along the Channel

19 Mar 2019-IEEE Electron Device Letters (Institute of Electrical and Electronics Engineers Inc.)-Vol. 40, Iss: 5, pp 822-825
TL;DR: In this paper, a new design to overcome the nonuniformity of capacitance matching along the channel of a negative capacitance field effect transistor is presented, in which the thickness of SiO2 at the edge regions of the channel can be increased while maintaining the thickness at the center region.
Abstract: A new design to overcome the nonuniformity of capacitance matching along the channel of a negative capacitance field-effect transistor is presented in this letter. By introducing nonuniform oxidation, the thickness of SiO2 at the edge regions of the channel can be increased while maintaining the thickness of SiO2 at the center region of the channel. As a result, the capacitance along the channel becomes more uniform, and better capacitance matching between the dielectric and ferroelectric can be achieved. The Sentaurus TCAD results show improvement of matching in the center region and a significant boost of ON-current (20% improvement).
Citations
More filters
Journal ArticleDOI
Tianyu Yu1, Weifeng Lü1, Zhifeng Zhao1, Peng Si1, Kai Zhang1 
TL;DR: It is observed that NCFETs with a two-layer ferroelectric structure can effectively adopt the capacitance matching in different operation regions, thereby increasing the on- state current and reducing the off-state current, resulting in higher switching current ratio (ION/IOFF) than the single-layer counterpart.

18 citations

Journal ArticleDOI
TL;DR: This article highlights the scalability of III-V TFETs, influence of thickness and permittivity of gate dielectric, interface trap density, other geometrical dimensions, material properties and various TFET architectures on the ON and OFF state performance ofIII-VTFETs.

10 citations

Journal ArticleDOI
TL;DR: In this article, a metal ferroelectric insulator semiconductor (MFIS) -type junctionless accumulation mode (JAM) negative capacitance (NC)-FinFET with reduced self-heating is proposed for the low-power Internet-of-Things (IoT) applications at 7-nm technology node.
Abstract: A novel metal ferroelectric insulator semiconductor (MFIS) -type junctionless accumulation mode (JAM) negative capacitance (NC)-FinFET with reduced self-heating is proposed for the low-power Internet-of-Things (IoT) applications at 7-nm technology node. Using 3-D TCAD simulations, we have varied the gate to source/drain junction overlap length, which shows that increased junction overlap offers reduced gate-induced drain leakage (GIDL) in NC-FinFET. We then show that the proposed JAM NC-FinFET provides superior ON -state current ( ${I}_{\text{ON}}$ ) to OFF -state current ( ${I}_{\text{OFF}}$ ) ratio with and without the self-heating effect (SHE). It also gives stable peak transconductance ( ${g}_{\text {m}}$ ) with and without SHE consideration due to reduced lattice temperature ( ${T}_{\text {I}} = 321$ K). A better capacitance matching due to the presence of depletion capacitance ( ${C}_{\text {dep}}$ ) is also observed, thereby resulting in low-hysteresis NC-FinFET design. The impact of gate metal work function variation on the hysteresis behavior of NC and JAM-NC-FinFET is also reported in this article. Furthermore, we show that the proposed JAM-NC-FinFET offers superior transconductance generation factor (TGF) in comparison with conventional NC-FinFET in the subthreshold region, making it a potential candidate for low-power applications.

9 citations


Cites background from "Optimization of NCFET by Matching D..."

  • ...INTRODUCTION TO CONQUER the Boltzmann tyranny of subthreshold swing (SS = 60 mV/dec) in conventional FET devices, the negative capacitance (NC)-FET is an emerging solution [1], [2]....

    [...]

Journal ArticleDOI
TL;DR: It is shown that the NC-FinFET can be scaled to "2.1nm node" and almost "1.5nm node", which is the last FinFET node according to the International Roadmap for Devices and Systems (IRDS).
Abstract: We present a TCAD simulation of the negative capacitance gate-all-around (NCGAA) field-effect transistor with the 3-D Ginzburg-Landau-Khalatnikov Model. The baseline device is based on the 2020 IRDS Table, and the mobility model is calibrated to account for ballistic transport and to match the “1.5 nm node” IRDS on-current requirement. The NC parameters are extracted from experimental C-V data. The NC-GAA shows reduction in the off current by one order of magnitude and a 40% on-current boost. If the gate work function is shifted to align the NC-GAA’s off-current with the IRDS high performance requirement, it is shown that NC-GAA can achieve the on-current and VDD requirement of every node through the “0.7 eq node,” which is the last node predicted in the 2020 IRDS Table. Furthermore, NC-GAA can even achieve a “0.5 eq node,” which is three additional nodes beyond the baseline “1.5 nm node.” We also show that these benefits are retained over a varying set of ferroelectric parameters.

9 citations

References
More filters
Journal ArticleDOI
TL;DR: By replacing the standard insulator with a ferroelectric insulator of the right thickness it should be possible to implement a step-up voltage transformer that will amplify the gate voltage thus leading to values of S lower than 60 mV/decade and enabling low voltage/low power operation.
Abstract: It is well-known that conventional field effect transistors (FETs) require a change in the channel potential of at least 60 mV at 300 K to effect a change in the current by a factor of 10, and this minimum subthreshold slope S puts a fundamental lower limit on the operating voltage and hence the power dissipation in standard FET-based switches. Here, we suggest that by replacing the standard insulator with a ferroelectric insulator of the right thickness it should be possible to implement a step-up voltage transformer that will amplify the gate voltage thus leading to values of S lower than 60 mV/decade and enabling low voltage/low power operation. The voltage transformer action can be understood intuitively as the result of an effective negative capacitance provided by the ferroelectric capacitor that arises from an internal positive feedback that in principle could be obtained from other microscopic mechanisms as well. Unlike other proposals to reduce S, this involves no change in the basic physics of the FET and thus does not affect its current drive or impose other restrictions.

1,722 citations

Journal ArticleDOI
TL;DR: In this paper, a 70-nm n-channel tunneling field effect transistor (TFET) with sub-threshold swing (SS) of 52.8 mV/dec at room temperature was demonstrated.
Abstract: We have demonstrated a 70-nm n-channel tunneling field-effect transistor (TFET) which has a subthreshold swing (SS) of 52.8 mV/dec at room temperature. It is the first experimental result that shows a sub-60-mV/dec SS in the silicon-based TFETs. Based on simulation results, the gate oxide and silicon-on-insulator layer thicknesses were scaled down to 2 and 70 nm, respectively. However, the ON/ OFF current ratio of the TFET was still lower than that of the MOSFET. In order to increase the on current further, the following approaches can be considered: reduction of effective gate oxide thickness, increase in the steepness of the gradient of the source to channel doping profile, and utilization of a lower bandgap channel material

1,583 citations


"Optimization of NCFET by Matching D..." refers background in this paper

  • ...Tunneling field-effect transistors (TFETs), nano-electromechanical (NEM) switches [4], and negative capacitance field-effect transistors (NCFETs) are promising ways to overcome the Boltzmann Tyranny [5]....

    [...]

  • ...I. INTRODUCTION THE fundamental limit imposed by the Boltzmanndistribution (60 mV/decade) which hinders scaling of CMOS technology is referred to as the Boltzmann Tyranny [1]–[3]....

    [...]

Journal ArticleDOI
TL;DR: The coupling of electric and thermal properties of the antiferroelectric thin films is expected to be useful for various applications, including energy harvesting/storage, solid-state-cooling, and infrared sensors.
Abstract: The recent progress in ferroelectricity and antiferroelectricity in HfO2-based thin films is reported. Most ferroelectric thin film research focuses on perovskite structure materials, such as Pb(Zr,Ti)O3, BaTiO3, and SrBi2Ta2O9, which are considered to be feasible candidate materials for non-volatile semiconductor memory devices. However, these conventional ferroelectrics suffer from various problems including poor Si-compatibility, environmental issues related to Pb, large physical thickness, low resistance to hydrogen, and small bandgap. In 2011, ferroelectricity in Si-doped HfO2 thin films was first reported. Various dopants, such as Si, Zr, Al, Y, Gd, Sr, and La can induce ferro-electricity or antiferroelectricity in thin HfO2 films. They have large remanent polarization of up to 45 μC cm(-2), and their coercive field (≈1-2 MV cm(-1)) is larger than conventional ferroelectric films by approximately one order of magnitude. Furthermore, they can be extremely thin ( 5 eV). These differences are believed to overcome the barriers of conventional ferroelectrics in memory applications, including ferroelectric field-effect-transistors and three-dimensional capacitors. Moreover, the coupling of electric and thermal properties of the antiferroelectric thin films is expected to be useful for various applications, including energy harvesting/storage, solid-state-cooling, and infrared sensors.

740 citations


"Optimization of NCFET by Matching D..." refers background in this paper

  • ...In HfO2-based NCFETs, the remanent polarization (Pr) is more sensitive to ferroelectric doping concentration than coercive field (Ec) [23]....

    [...]

Journal ArticleDOI
TL;DR: Ferroelectric tunnel junctions represent a promising and flexible device design that is able to retain its information even when switched off, and to miniaturize such devices to the size of a few nanometres.
Abstract: Computer memory based on ferroelectric polarization is a promising alternative to technologies based, for example, on magnetism. Here, Garcia and Bibes review how ferroelectric tunnel junctions, where ferroelectric polarization controls electrical resistance, could improve the performance of these devices.

587 citations


"Optimization of NCFET by Matching D..." refers background in this paper

  • ...Many letters have discussed the nonuniformity in electric field, nonuniformity in FE [16]–[19], and methods of improving the degree of capacitance matching [20]–[21]....

    [...]

Journal ArticleDOI
TL;DR: In this paper, negative capacitance in a thin epitaxial ferroelectric film was observed to decrease with time, in exactly the opposite direction to which voltage for a regular capacitor should change.
Abstract: The Boltzmann distribution of electrons poses a fundamental barrier to lowering energy dissipation in conventional electronics, often termed as Boltzmann Tyranny. Negative capacitance in ferroelectric materials, which stems from the stored energy of a phase transition, could provide a solution, but a direct measurement of negative capacitance has so far been elusive. Here, we report the observation of negative capacitance in a thin, epitaxial ferroelectric film. When a voltage pulse is applied, the voltage across the ferroelectric capacitor is found to be decreasing with time--in exactly the opposite direction to which voltage for a regular capacitor should change. Analysis of this 'inductance'-like behaviour from a capacitor presents an unprecedented insight into the intrinsic energy profile of the ferroelectric material and could pave the way for completely new applications.

540 citations