scispace - formally typeset
Journal ArticleDOI

Proposal for Capacitance Matching in Negative Capacitance Field-Effect Transistors

Reads0
Chats0
TLDR
A new approach using multi-layer FE to engineer the shape of negative-capacitance field-effect transistor is discussed, and the results show that it leads to better sub-threshold swing as well as lower power supply.
Abstract
Negative-capacitance transistors use ferroelectric (FE) material in the gate-stack to improve the transistor performance. The extent of the improvement depends on the capacitance matching between the FE capacitance ( ${C}_{\textsf {fe}}$ ) and the underlying MOS transistor ( ${C}_{\textsf {MOS}}$ ). Since both ${C}_{\textsf {MOS}}$ and ${C}_{\textsf {fe}}$ have strong non-linearity, it is difficult to achieve a good matching for the entire operating gate voltage range. In this letter, we discuss a new approach using multi-layer FE to engineer the shape of ${C}_{\textsf {fe}}$ . The proposed method is validated using the TCAD simulation of negative-capacitance FDSOI transistor, and the results show that it leads to better sub-threshold swing as well as lower power supply ${V}_{\textsf {dd}}$ compared with a prototype single-layer negative-capacitance field-effect transistor.

read more

Citations
More filters
Journal ArticleDOI

Progress and future prospects of negative capacitance electronics: A materials perspective

TL;DR: In this paper, the authors present a unique view of the field of negative capacitance electronics from the ferroelectric materials perspective, concluding that HfO2-based ferroelectrics are currently most promising for applications in electronics.
Journal ArticleDOI

Interface engineering of two-dimensional transition metal dichalcogenides towards next-generation electronic devices: recent advances and challenges

TL;DR: This article elucidates multifarious contact engineering approaches such as edge contact, phase engineering and metal transfer to suppress the Fermi level pinning effect at the metal/TMDC interface, various channel treatment avenues such as van der Waals heterostructures, surface charge transfer doping to modulate the device properties, and the novel electronics constructed by interface engineering such as diodes, circuits and memories.
Journal ArticleDOI

Low Voltage Operating 2D MoS 2 Ferroelectric Memory Transistor with Hf 1-x Zr x O 2 Gate Structure

TL;DR: The results demonstrate that the HZO/MoS2 ferroelectric memory transistor can achieve new opportunities in size- and voltage-scalable non-volatile memory applications.
Journal ArticleDOI

Experimental Validation of Depolarization Field Produced Voltage Gains in Negative Capacitance Field-Effect Transistors

TL;DR: In this paper, the depolarization field in the ferroelectric (FE) film leads to voltage gains in negative capacitance (NC) field effect transistors (FETs).
Journal ArticleDOI

Exploration of Negative Capacitance in Gate-All-Around Si Nanosheet Transistors

TL;DR: In this paper, the negative capacitance effect of gate-all-around (GAA) nanosheet (NS) field effect transistors (FETs) was explored in silicon NS transistors by using TCAD.
References
More filters
Journal ArticleDOI

Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices

TL;DR: By replacing the standard insulator with a ferroelectric insulator of the right thickness it should be possible to implement a step-up voltage transformer that will amplify the gate voltage thus leading to values of S lower than 60 mV/decade and enabling low voltage/low power operation.
Proceedings ArticleDOI

14nm Ferroelectric FinFET technology with steep subthreshold slope for ultra low power applications

TL;DR: In this paper, Doped hafnia ferroelectric layers with thicknesses from 3 to 8nm are integrated into state-of-the-art 14nm FinFET technology without any further process modification.
Proceedings ArticleDOI

Ferroelectric HfZrO x Ge and GeSn PMOSFETs with Sub-60 mV/decade subthreshold swing, negligible hysteresis, and improved I ds

TL;DR: In this paper, the authors reported the first ferroelectric (FE) HfZrO x (HZO) Ge and GeSn pMOSFETs with sub-60 mV/decade subthreshold swing (SS) and negligible hysteresis.
Journal ArticleDOI

Analysis and Compact Modeling of Negative Capacitance Transistor with High ON-Current and Negative Output Differential Resistance—Part I: Model Description

TL;DR: An accurate and computationally efficient physics-based compact model to quantitatively analyze negative capacitance FET (NCFET) for real circuit design applications and accurately captures different aspects of NCFET is presented.
Related Papers (5)