scispace - formally typeset
Search or ask a question
Journal ArticleDOI

SRAM-Based FPGA Systems for Safety-Critical Applications: A Survey on Design Standards and Proposed Methodologies

TL;DR: The main contribution of this paper is an overview of the existing design standards that regulate the design and verification of FPGA-based systems in safety-critical application fields and a survey of significant published research proposals and existing industrial guidelines about the topic.
Abstract: As the ASIC design cost becomes affordable only for very large-scale productions, the FPGA technology is currently becoming the leading technology for those applications that require a small-scale production. FPGAs can be considered as a technology crossing between hardware and software. Only a small-number of standards for the design of safety-critical systems give guidelines and recommendations that take the peculiarities of the FPGA technology into consideration. The main contribution of this paper is an overview of the existing design standards that regulate the design and verification of FPGA-based systems in safety-critical application fields. Moreover, the paper proposes a survey of significant published research proposals and existing industrial guidelines about the topic, and collects and reports about some lessons learned from industrial and research projects involving the use of FPGA devices.

Summary (7 min read)

1 Introduction and Motivations

  • Since the first FPGA device was developed by Xilinx in 1984 with the XC2064 chip, the FPGA technology has enormously grown in terms of flexibility, reliability and computational power.
  • In [53] , the maturity of reconfigurable FPGA technologies for safety-critical applications is discussed.
  • As discussed in [11] , testing alone cannot guarantee such requirement; combining fault tolerant approaches, such as replication and diversity, together with testing and other techniques such as Failure Mode and Effects Analysis (FMEA) and reliability analysis methods, can improve the reliability of the system, but the result is still far from the 10 −9 goal.

2 The FPGA Technology

  • Programmable blocks may be simple combinatorial logic (Soft Logic Blocks) or memories, multiplexers, ALUs and other kinds of prefabricated circuitry (Hard Logic Blocks).
  • Logic blocks may be programmed to implement a certain functionality, the routing architecture may be programmed to interconnect various blocks, and I/O pads may be programmed to ensure off-chip connections.
  • Three FPGA programming technologies exist: static memory (SRAM) based, non-volatile memory (flash and EEPROM) based and antifuse based [46] .
  • The antifuse programming technology does not allow any reprogramming of the device.
  • Many embedded processors that can be placed on FPGA devices exist, among which the authors can mention the Xilinx MicroBlaze and PicoBlaze, and the Altera Nios and Nios II, provided by the FPGA vendors themselves.

3 Standards regulating the design of Hardware Systems in Safety-Critical Systems

  • A general framework for the design and development of hardware and software safety-critical systems is the IEC 61508 standard, and in particular the IEC 61508-2 [42] and the IEC 61508-3 [43] for hardware and software systems respectively.
  • In all the other application fields, the in force regulations require adopting the standard for the design and development of both software and hardware systems.
  • Moreover, after each phase the intermediate products of the phase are verified against the requirements specified in the previous phase: for example, the adequacy of the hardware architecture in fulfilling the requirements specification must be verified, the adequacy of the designed modules and their integration in fulfilling the architecture must be verified and so on.
  • Thus, a system breadboard shall be designed and used covering all the operating modes and conditions of the device.
  • In the following of this section the authors present a brief summary of the requirements imposed by the previously mentioned safety standards, for each phase of the V-shaped design lifecycle, placing particular emphasis on the requirements imposed by the ECSS-Q-ST-60-02C standard for FPGAs.

3.1.1 System safety requirements specification

  • Starting from the requirements specification document of the whole system , requirements for the FPGA-based system are extracted and analyzed.
  • In particular it is recommended to identify those requirements that involve functionalities that allow the system to reach and maintain a given safety level, those functions that allow the system to detect, identify and handle faults and those functions related to performance-and time-critical operations.
  • The specification of the system requirements shall contain details relevant to the design, to achieve the safety integrity level and the required target failure measure for the safety function, as specified by the E/E/PE system safety integrity requirements specification.
  • In particular, the ECSS-Q-ST-60-02C standard imposes the following additional requirements related to the occurrence of faults due to radiation: error handling test device on ground and flight.

• proof of required fault coverage during tests

  • Moreover, the standard imposes the production of a feasibility study in order to estimate the requested power consumption, speed and radiation tolerance.
  • At the end of this phase a document that completely collects and defines the requirements for the FPGA-based system is produced.
  • This document is required to be complete, unequivocal, clear and precise, verifiable and testable.
  • An interesting point is that all the standards highly recommend the use of semi-formal methods, such as logic/function block diagrams, sequence diagrams, data flow diagrams, and of formal methods, such as finite state machines, timed Petri nets, LOTOS, OBJ and Z, for the specification, analysis and verification of high-level system requirements.

3.1.2 System Architecture

  • In this phase the overall architecture of the system is defined.
  • In particular, the high-level components that will compose the system are identified, the interfaces among them are specified and the input and output of the system are defined.
  • Moreover, the decision on how to partition the system into its hardware and software components shall be taken during this design phase.
  • A significant effort shall be paid in identifying a hardware architecture able to fulfill the previously defined safety requirements: for example, architectural-level fault-tolerance schemes are selected in this phase.
  • The produced architecture shall be verified according to the previously defined requirements.

3.1.3 System design and behavioral modeling

  • In this phase the previously defined architecture is refined into a number of sub-components.
  • The high-level behavioral specification of these components is defined in this phase.
  • All the standards agree in requiring the use of hardware description languages (behavioral VHDL/Verilog) to describe the behavior of the components and about the observance of coding guidelines.
  • Furthermore, proven-in-use design environments and simulators shall be used.

3.1.4 Module design

  • During the module design the high level behavioral model of the design is translated into a structural description composed of the hardware modules in accordance with the architectural design.
  • The ECSS-Q-ST-60-02C standard places particular emphasis on the definition of time constraints and of a detailed pin plan for FPGA designs.
  • Static analysis tools are used to facilitate this process.
  • After all modules have been designed and integrated in the complete system, integration testing shall be performed.
  • Testing is usually black-box as the code is not directly checked for errors.

3.1.5 Synthesis, placement and routing

  • After the detailed design has been completed it must be synthesized so to generate the gate-level netlist implementing the system.
  • During this phase, proven-in-use simulation, synthesis tools and technological libraries must be used.
  • In the placement and routing phase the synthesized netlist is placed on the chip and routing information is defined in order to meet the timing constraints.
  • Moreover, the power and clock distribution is performed.

3.1.6 Final coding

  • In the FPGA programming phase the placed and routed design is translated into the programming bitstream, the FPGA device is programmed and the resulting prototype is tested.
  • The design validation will be performed on the produced prototypes of the system.

3.2 Validation Process

  • After the development phase, the implemented design must be validated.
  • At this stage (i) estimated delays shall be verified; (ii) gate-level simulations, formal verification and static timing analysis shall be performed; (iii) key parameters such as voltages, noise, frequencies, bandwidth, power consumption, shall be verified; (iv) functional verification shall be performed.
  • Finally, the standard places particular emphasis on the use of IP-cores: when such modules are purchased and used, great attention must be paid in the verification of the IP-core itself and in the verification of the correct integration of the IPcore into the architecture under design.
  • Complete system testing will compare the system specifications against the actual system implementation.
  • The testers validate whether the requirements are completely and appropriately met.

4 FPGA research proposals, guidelines and lessons learned

  • Industrial and academic guidelines and lessons learned from real-world projects regarding the design and verification of FPGA-based systems in safety-critical application fields.the authors.
  • The authors have organized the survey following the structure of the V-shaped lifecycle previously presented.
  • For each phase of the design process the authors report activities that should be carried out as well as proposed techniques and tools.

4.1 Safety Requirements Specifications

  • In accordance to the standards, in [35] and [56] it is confirmed that the risk analysis should be carried out during the concept and requirements definition phase, along with the feasibility study and the requirements specification.
  • The risk analysis should identify the critical issues of the design and identify the possible backup solutions, including but not limited to: (i) Maturity of the foreseen FPGA device family, including CAD tools, libraries and vendor support; (ii) suitability of the chosen technology for the intended mission; (iii) undetermined I/O behavior and internal initial state during power-up.
  • Moreover, the author points out that designers should assess and document the radiation threats to the circuit.
  • Then, using the INFORMED design method, the boundary between hardware and software components of the system is identified.
  • In [61] , Sutton underlines the need of machine-readable formalisms for requirements specification in order to guarantee that all the requirements have been addressed during the design process.

4.2 System Architecture

  • At this stage of the design life-cycle the target device shall be chosen and consequently the vendor's CAD tool shall be chosen and purchased.
  • The use of hardware description languages, such as Verilog or VHDL, and of CAD tools to produce the architectural design is highly recommended [65] .
  • For outputs that are critical for the system operation, it is recommended that the corresponding flip-flops are reset asynchronously.
  • Concerning power consumption, Habinc suggests avoiding clock signal manipulations that are in conflict with synchronous design methods.
  • Finally, the state of the unused pins shall be properly documented.

4.3 Behavioral Modeling and Module Design

  • Implementing the defined functionalities, interfaces, interconnections and interactions [35] .
  • A strict coding standard should be used to avoid systematic faults due to coding errors: it is suggested to avoid non-synthesizable code and coding instructions that would lead to the insertion of latches.
  • In [19] , a VHDL guidance for safe and certifiable FPGA design is reported.
  • A very large number of alternative high-level hardware programming languages has been proposed as intermediate languages between the architectural design and the description of the device structure in a hardware description language.
  • Also boundary value tests shall be performed in order to evaluate the robustness of the design.

4.4 Synthesis, placement and routing, and final coding

  • A number of works presenting alternative place-and-route algorithms able to increase the robustness of a given design against faults have been published in the last years.
  • The work starts from the consideration that the XTMR tool from Xilinx fails in some cases to protect the design from single event upsets due to the presence of common causes of failure in the routing of the design.
  • FPGA vendors do not provide any detail about the structure of the bitstream, and the problem of verifying third-party IP-cores is made harder by the fact that very often these cores are provided as obfuscated or encrypted netlists.
  • Thus, designers generally perform testing activities on the programmed device, spending great effort in designing sufficiently effective test cases.
  • Recently, Luna Inc. developed a software platform called Change Detection Platform (CDP) [31] .

5 Radiation Effects Analysis and Mitigation

  • Radiations may produce system malfunctions [6] .
  • In particular, radiations affecting digital circuits may cause changes in the contents of memory elements and in the value of signals.
  • The above mentioned effect is known as Single Event Upset (SEU).
  • Neither TID or SETs have been widely studied in SRAM-based FPGAs since these devices are much more susceptible to SEUs, but they must be considered when other FPGA technologies are used [63, 52] .
  • Moreover techniques for mitigation of SEUs are either highly recommended or mandatory, depending on the safety level.

5.1 SEU Effects Analysis Techniques

  • The sensitivity to SEUs of SRAM-based FPGA systems can be analyzed according to four main approaches: accelerated radiation ground testing, fault emulation boards, analytical computation, and fault simulation.
  • Unlike radiation testing experiments, fault emulation allows focusing specifically on SEUs in the configuration memory of the FPGA, leaving out any other resources.
  • Given the probability of occurrence of a SEU, the model estimates the probability of having a system failure after a given amount of time.
  • Moreover, an even smaller number of simulators that specifically address the FPGA technology can be found.
  • The only simulator targeting SEUs in FPGAs is SST [34] that works on the register transfer level representation of the system.

5.2 SEU Mitigation and Correction Techniques

  • Many SEU mitigation techniques are discussed in the literature.
  • Fabrication process-based techniques aim at reducing the effects of radiation through the use of non standard CMOS logic gates, such as the Silicon-on-insulator (SOI) technology from IBM [41] and radiation-hardened memory cells [13] .
  • A generalization of hardware redundancy is device redundancy, that is, using multiple independent FPGA devices performing the same functionality, whose output is then checked by a voting system.
  • An additional advantage of designbased techniques is that they can be applied to different levels of design abstraction and can address different fault types.
  • With blind scrubbing the whole bitstream is reloaded, irrespective of the occurrence of faults, whereas with selective scrubbing readback operations make it possible to identify faults and correct them with partial reconfigurations.

6.1 Hydraulic Leakage Monitoring

  • Hydraulic systems are used in aircraft to actuate highly critical components, such as control surfaces and landing gear.
  • Leakages may cause pressure losses, which may lead to catastrophic failures, so a Hydraulic Leakage Monitoring (HLM) system is used to detect leakages and isolate defective sections of the hydraulic system by operating shut-off valves.
  • Esterel modules are used both for the system and the fault model, thus allowing verification of safety properties in the presence of faults.
  • The main safety property is that no more than one valve be closed at the same time, since this condition could block the hydraulic system.
  • The Esterel model has then been automatically translated into VHDL, leading to the FPGA implementation.

6.2 Reactor Trip System

  • Andrashov et al. [3] describe the development and V&V process used for the control logic of reactor trip systems (RTS) implemented with FPGA technology.
  • The RTS is the central and most critical part of a nuclear powerplant's protection system.
  • Figure 5 shows the considered RTS, consisting of three signal channels feeding a two-out-of-three voter.
  • The design phase consists in the preliminary electronic design subphase, where the system is modeled at the diagram level and verification is done by design review, and the detailed electronic design subphase, where system is modeled at the schematics and VHDL level, and verification is done by simulation and static analysis.
  • Thirty-four algorithms have been identified and tested by simulation with a 100% coverage of input value combinations chosen with the boundary value criterion.

6.3 Car Body Controller

  • Traub et al. [62] describe the development of an FPGA-based body controller unit (BCU) , in charge of controlling a car's electrically operated windows, rear-view mirrors, and other components.
  • The adopted development process is centered on model-based design, both for hardware and software.
  • The BCU functions are modeled with Simulink and Stateflow diagrams, from which HDL code (for hardware modules) and C code (for software) is automatically generated.
  • The code is then synthesized for the Xilinx Spartan 3 FPGA.
  • The authors report data on resource requirement for different architectural approaches.

7 Open Issues

  • Many issues are still unsolved and make the application of SRAM-based FPGA devices in the safety-related parts of systems still problematic.
  • The lack of such tools, again, forces designers to rely on the correctness of the translation tool provided by the device vendor and on the trustworthiness of the IP-core provider.
  • Finally, partial dynamic reconfiguration in safety-critical applications represents a still open point.
  • This gets even worse when a number of iterations of design and sensitivity analysis is required before achieving an acceptably robust design.

8 Conclusions

  • This paper summarizes the design standards for the development of FPGAbased systems in safety critical applications together with the literature proposals, industrial and academic guidelines, and lessons learned from real projects.
  • Three main points about the design of FPGA-based systems in safetycritical application field can be identified.
  • The first point is that it is strongly recommended to start the design of a safety-critical FPGA-based system only after a well structured and well documented design flow has been identified.
  • The second recommendation is never to trust completely the CAD tools provided by the FPGA device vendor, and always to verify the intermediate products of all phases of the design process using external tools (both simulation tools and formal methods).
  • Finally, even if the design and development process of an FPGA-based system is very much like the design and development process of a software system, the designer must know in depth all the technological details of the final target device that will host the system, such as special I/O pins, working frequency range, temperature, voltage and humidity ranges.

Did you find this useful? Give us your feedback

Content maybe subject to copyright    Report

SRAM-based FPGA Systems for
Safety-Critical Applications: A Survey on
Design Standards and Proposed Methodologies
Cinzia Bernardeschi Luca Cassano Member, IEEE
Andrea Domenici
March 29, 2019
Abstract
As the ASIC design cost becomes affordable only for very large
scale productions, the FPGA technology is currently becoming the
leading technology for those appli cat i ons that require a small scale
production. FPGAs can be considered as a technology crossing be-
tween hardware and software. Only a small number of standards for
the design of safety-critical systems give guidelines and recommenda-
tions that take the peculi ar i t i e s of the FPGA technology into consid-
eration. The main contribution of this paper is an overview of the
existing design standards that regulate the design and verification of
FPGA-based systems in safety-critical application fields. Moreover,
the paper proposes a survey of significant published research propos-
als and existing industrial guidelines about the topic, and collec ts
and r e port s about some lessons learned from industrial and research
projects involvin g the use of FPGA devices.
Keywords: Design Verification, Electronic Design, Safety-criti cal Sys-
tems, SRAM-based FPGA
Postprint. Publis he d in: Journal of Computer Science and Technology March 2015,
Volume 30, Issue 2, pp 373390. The final authenticated version is available online at:
https://doi.org/10.1007/s11390-015-1530-5
C. Bernardeschi and A. Domenici are with the Department of Information Engineering,
University of Pisa, Italy. L. Cassano is with the Dipartimento di Elettronica, Informazione
e Bioingegneria, Politecnico di Milano, Italy.
1

1 Introduction and Motivations
Since the first FPGA device was developed by Xilin x in 1984 with the XC2064
chip, the FPGA technology has enormously grown in terms of flexibility,
reliability and computati o n al power. Although it is still not comparable
with ASIC technology either in terms of computational powe r or silicon area
occupation, the FPGA technology has imposed itself in many application
fields thanks to very good performance, low non recurrent desi gn cost and
very sh o r t time to market.
In particular, SRAM-based FPGA devices ar e employed in many applica-
tion fields such as broadcast, wireless and wired communication systems [14],
cryptography and network security [ 49 ] , and consumer produ ct s, as well as
in fields with stringent safety requirements, such as airborne [ 18 ] , aerospace
and defense [47], railways [20], and industrial and nuclear power plant con-
trol [55].
This interest is d u e t o the ca p ab i l i ty of SRAM - b ased F PGAs of being
dynamically and partially reconfigured at ru n - t i m e, which makes this tech-
nology much more powerful and flexible than non dynamically reconfigurable
technologies, such as flash- and antifuse-based FPGAs. Usin g SRAM-based
FPGAs and exploiting dynamic partial reconfiguration, a designer can ada p t
the fu n c t io n al i ty implemented by the system to changing environment and
operational requi re m ents. For example, dynamic partial reconfiguration has
been used in a platform for satellite payload p r ocessing [60]. A satellite
payload m ay perform different t as ks in the course of its mission, such as ac-
quiring data, process it, and transmit it to ground. FPGA devices may be
reconfigured for each task, thus improving resource utilization.
Nevertheless, SRAM-based FPGA devices are still seldom used in those
parts of systems related with the safety of the system itself, due to the
vulnerability to faults of the SRAM-based configuration m em or y [61]. On
the ot h er hand, in the last years a number of dedicated conferences and
workshops, such as the NASA/ESA Conferences on Adaptive Hardware and
Systems and the Military and Aerospace Programmable Logic Devi ces Work-
shops demonstrate great interest in employing SRAM-based FPGA devices
in safety- and mission-critical applications. In [53], the maturity of reconfig-
urable FPGA technologies for safety-critical applications is discussed.
A safety-critical system is a syst em whose failure or malfunction may
result in death or serious injury to people, loss or serious damage of equ i p -
ment, or environmental harm. In the IEC 61508-2 functional safety stan-
2

dard [42], for safety related Electric/Elect r o n i c/Pr o gr am m a b l e Electronic
systems (E/E/PE) operating in a low-dem a n d mode of oper at i on, the lower
limit on the target failure measures is set at an average probability of 10
5
dangerous failu r es per hour of functioning. On the other h an d, for E/E/PE
safety-related systems operating in a high-demand continuou s mode of oper-
ation, the lower limit is set at an average probability of 10
9
.
As discussed in [11] , testing alone cannot guarantee such requirement;
combining fault tolerant approaches, such as replication and diversity, to-
gether with testing and other techniques such as Failure Mode and Effects
Analysis (FMEA) and reliability ana ly si s methods, can improve t h e relia-
bility of the system, but the result is still far fr om the 10
9
goal. It is
then necessary to complement the fault removal (i.e., testing) and fault tol-
erance strategies with a fault avoidance st r at egy, with the goal of producing
high-quality systems, a s free as possible of systematic faults. This goal can
be achieved with rigorous development processes carried out according to
standards that explicitly take into account the requirements of safety-critical
systems.
Many stand ar d s are available to d evelopers of safety-cr it i ca l systems, but
most of them do not d i r ect l y address the specific issues of the FPGA tech-
nology, or provide only limi t ed guidance about them. Two are the main
differences between th e ASIC and th e FPGA design fro m the system de-
signer point of view. The first difference is that the FPGA design flow is
much more automated than the ASIC one, and thus it leads designers to
rely much more on the CAD tools provided by the FPGA vendor and to pay
less attention to verifying the correctness of the int er m ediate products of the
various design phases and to trust too much the CAD tools [21]. The second
difference is that the final product of the FPGA design is a software, i.e., the
bitstream. Because of this, FPGAs are often perceived by d esi gn er s as easy
to modify and correct late in the development process, thus FPGA based
systems are often designed with developm ent methods more similar to a code
and fix approach than a tru e hardware design process, methods that would
not be accepted for the design of more costly and less flexible technologies,
such a s ASICs or microprocessors [17].
In [17] Cercone et al. discuss how FPGA programming has not evolved
much beyond the classical sequential development metho dology of sp eci fyi ng
requirements, creatin g the design, coding, simulating and testing. Often the
documentation and testing of an FPGA project is left as an “end of project”
task. The authors discuss how logic and functional testing are often com-
3

pleted only for known operational conditions, thus ensuring that the device
does what it is supposed to do, but with ou t ensuring that it does not perform
unrequested functions. The paper strongly endor ses the necessity of adapting
verification and validation methodologies relying on modern design process es
to the FPGA design, incorporating verification techniques as integral parts
of the entire design process.
Habinc et al. [35], as well as Fern´andez-Le´on in [21] and Gibbons and
Ames in [28], discuss how many problems and failu r es in space applications
involving FPGA devices are the result of applying inadequate development,
verification and validation methodologies. The authors observe that since
the FPGA technology became sufficiently mature, it is being employed more
and more heavily in space app l i cat i on s , performi ng more and mo r e complex
and critical tasks.
Gibbons and Ames discuss the failure of the NASA Wide Field Infr ar ed
Explorer (WIRE) project, that was due to the indeterminate stat e of the
output of a control FPGA device, during the power-up phase. The authors
focus on that experience, arguing that a robust design process of an FPGA-
based safety-critical system must rely on a great experience of designers in
any as pect of the specifi c FPGA technology employed.
Finally, in [21], Fern´andez-Le´on discusses the results of an audit of FPGA-
based designs conducted by the European Space Agency, which revealed that
the overall design methodology and quality control app li ed to these designs
were often poorly defined and in some cases even risky or negligent.
Taking these issues into account, designers of FPGA-ba sed systems of-
ten borrow stand ar d s and g u i d el i n es from more traditional technologies and
adapt them to the needs of FPGA-based development. Moreover, because of
the lack of specific regulations and standards, a number of guidelines, such
as [65, 5, 56], and lessons learned from research and industrial project s, such
as [17, 21, 2 8 ], have been publ i sh ed over t h e years.
Our work intends to present a brief overview of the existing standards
for the use of FPGAs in safety-related systems, and, in general , har d ware-
based systems developm ent, and to survey proposed techniques, guidelines
and lessons learned about the design, verification and valid at i on of FPGA-
based safety-critical systems. This wo r k is meant for both practitioners and
researchers working in the eld of design and verification of FPGA-based
safety-critical systems. In p ar t i cu l a r, practitioners could exploit the present
work to get a quick overview of the existing standards as well as to enrich their
background through l esso n s learned from industrial and research projects
4

involving the development of FPGA-based systems. On the other hand,
researchers approaching the novel design and verifi cat i on t echniques cou l d
obtain from the present work a first picture of the existing trends.
Since the design of an FPGA-based system has many steps in common
with the ASIC design flow and since there is n ot yet a comprehensive and
specific standard for the development of FPGA-based systems, in the fol-
lowing sections we report general information, requirements and guidelines
specific of ASIC designs but also applicable to FPGA d e si gn s, and, when
available, activities and requirements specifi c of the FPGA design flow.
The remainder of this p aper is organized as follows: in Section 2 we
briefly describe the main features of the FPGA technology; in Section 3 we
quickly review the standards in force for FPG As in safety-critical application
fields; in Section 4, we present a survey of the research proposals, guide-
lines and lessons learned for FPGA-based system design and verification in
safety-critical application fields; Section 5 presents the main techniques for
the analysis of the effects o f radiation on SRAM-based FPGA systems; Sec-
tion 6 repor t s on some published case studies; Secti on 7 discusses open i ssues;
finally, Section 8 concludes the paper.
2 The FPGA Technology
An FPGA is a prefabricated array of programmable blocks, interconnected
by a programmable routing architecture and surrounded by programmable
input/output blocks. Figure 1 shows the basic architect u r e of an FPGA chip.
Programmable blocks may be simple combinatorial logic (Soft Logic Blocks)
or memories, multiplexers, ALUs and other kinds of prefabricated circuitry
(Hard Logic Blocks). Logic blocks may be programmed to implement a cer-
tain functionality, the routing architecture may be programmed to intercon-
nect various bl ocks, and I/O pa d s may be program m ed to ensure off-chip
connections.
The purpose of the logic block i s to p r ovide the basic computational
and storage elem ent for the construction of t h e complete logic system. The
programmable routi n g architectu r e, composed of wires and p r ogr a m m ab l e
switches, provid es connections among logic blocks and I/O blocks to complete
a user-designed circuit. Finally, the I/O architect u r e is composed of I/O pads
disposed along the perimeter of the FPGA device, each one implementing one
or more communication standards.
5

Citations
More filters
Journal ArticleDOI
TL;DR: In this paper, a review of radiation effects on FPGAs is presented, especially soft errors in SRAM-based FPGA, with emphasis on SEUs as well as on the measurement of radiation upset sensitivity and irradiation experimental results at various facilities.

40 citations

Journal ArticleDOI
TL;DR: In this article, the authors proposed a methodology to evaluate SRAM-based FPGA's susceptibility with respect to Single-Event Upset (SEU) as a function of noise on VDD power pins, total-Ionizing Dose (TID) and TID-imprinted effect on BlockRAM cells.
Abstract: This work proposes a novel methodology to evaluate SRAM-based FPGA’s susceptibility with respect to Single-Event Upset (SEU) as a function of noise on VDD power pins, Total-Ionizing Dose (TID) and TID-imprinted effect on BlockRAM cells. The proposed procedure is demonstrated for SEU measurements on a Xilinx Spartan 3E FPGA operating in an 8 MV Pelletron accelerator for the SEU test with heavy-ions, whereas TID was deposited by means of a Shimadzu XRD-7000 X-ray diffractometer. In order to observe the TID-induced imprint effect inside the BlockRAM cells, a second SEU test with neutrons was performed with Americium/Beryllium ( $^{241}{\hbox {AmBe}}$ ). The noise was injected into the power supply bus according to the IEC 61.000-4-29 standard and consisted of voltage dips with 16.67% and 25% of the FPGA’s VDD at frequencies of 10 Hz and 5 kHz, respectively. At the end of the experiment, the combined SEU failure rate, given in error/bit.day, is calculated for the FPGA’s BlockRAM cells. The combined failure rate is defined as the average SEU failure rate computed before and after exposition of the FPGA to the TID.

34 citations

Journal ArticleDOI
TL;DR: Multi-core devices are envisioned to support the development of next-generation safety-critical systems, enabling the on-chip integration of functions of different criticality.
Abstract: Multi-core devices are envisioned to support the development of next-generation safety-critical systems, enabling the on-chip integration of functions of different criticality. This integration provides multiple system-level potential benefits such as cost, size, power, and weight reduction. However, safety certification becomes a challenge and several fundamental safety technical requirements must be addressed, such as temporal and spatial independence, reliability, and diagnostic coverage. This survey provides a categorization and overview at different device abstraction levels (nanoscale, component, and device) of selected key research contributions that support the compliance with these fundamental safety requirements.

34 citations


Cites background or methods from "SRAM-Based FPGA Systems for Safety-..."

  • ...FPGAs SRAM FPGAs use a configuration memory that defines the operations of the electronic circuit implemented by the FPGA....

    [...]

  • ..., redundancy, scrubbing, partial dynamic reconfiguration, combinations of the previous techniques [50, 51, 52]....

    [...]

  • ...The term multi-core device, or device for short, is used within this survey to refer to multi-core processors, System-ona-Chip (SoC), Multi-Processor System-on-a-Chip (MPSoCs), FPGA with soft-cores and combinations of the previous....

    [...]

  • ...LEON3+ Part of the flight control system is evaluated on a 4-core LEON3 design implemented on a FPGA, together with ARINC 653 compliant PikeOS in the context of probabilistic timing analysis [186]....

    [...]

  • ...• Hybrid device: Multi-core device that combines previous options, e.g., generic device with a certifiable ’safety island’ (e.g., Zynq UltraScale+), generic device with integrated FPGA that enables the integration of custom safety designs (e.g., Zynq with ARM and MicroBlaze [7])....

    [...]

Journal ArticleDOI
TL;DR: This paper proposes a set of simulation scenarios that reflect a range of atmospheric conditions and noise contamination that may ultimately happen on-board an imaging satellite, and verifies their impact on the generalization capabilities of spectral and spectral-spatial convolutional neural networks for hyperspectral image segmentation.
Abstract: Although hyperspectral images capture very detailed information about the scanned objects, their efficient analysis, transfer, and storage are still important practical challenges due to their large volume. Classifying and segmenting such imagery are the pivotal steps in virtually all applications, hence developing new techniques for these tasks is a vital research area. Here, deep learning has established the current state of the art. However, deploying large-capacity deep models on-board an Earth observation satellite poses additional technological challenges concerned with their memory footprints, energy consumption requirements, and robustness against varying-quality image data, with the last problem being under-researched. In this paper, we tackle this issue, and propose a set of simulation scenarios that reflect a range of atmospheric conditions and noise contamination that may ultimately happen on-board an imaging satellite. We verify their impact on the generalization capabilities of spectral and spectral-spatial convolutional neural networks for hyperspectral image segmentation. Our experimental analysis, coupled with various visualizations, sheds more light on the robustness of the deep models and indicate that specific noise distributions can significantly deteriorate their performance. Additionally, we show that simulating atmospheric conditions is key to obtaining the learners that generalize well over image data acquired in different imaging settings.

30 citations


Additional excerpts

  • ...Additionally, Intuition-1 will exploit an FPGA to execute on-board artificial intelligence, as it allows for massively parallel processing (very well-fitted to deep learning algorithms), it is energy-efficient [19], it is commonly designed to support safety-critical applications [20], and can be optimized in the context of memory usage [21]....

    [...]

Journal ArticleDOI
TL;DR: The mitigation techniques for SEUs in the configuration memory of SRAM-based FPGAs, as the configurationMemory is highly susceptible to SEUs, are reviewed.
Abstract: Single event upset (SEU) has become one of the major threats to dependable application development targeted at safety systems in field programmable gate arrays (FPGAs). This article briefly reviews the mitigation techniques for SEUs in the configuration memory of SRAM-based FPGAs, as the configuration memory is highly susceptible to SEUs. Various reconfiguration methods are reviewed and the main focus is given to partial reconfiguration with error correction codes and scrubbing. It also covers the algorithmic and architectural changes which prevent or mitigates SEUs in the configuration memory bits dedicated for routing resources and logic resources. The major techniques are compared based on their SEU mitigation capability, area overhead, and delay.

15 citations


Cites background from "SRAM-Based FPGA Systems for Safety-..."

  • ...Safety critical and safety related applications address the protection of FPGA configuration and the protection of user memory elements [16]....

    [...]

References
More filters
Journal ArticleDOI
Robert Baumann1
TL;DR: In this article, the authors review the types of failure modes for soft errors, the three dominant radiation mechanisms responsible for creating soft errors in terrestrial applications, and how these soft errors are generated by the collection of radiation-induced charge.
Abstract: The once-ephemeral radiation-induced soft error has become a key threat to advanced commercial electronic components and systems. Left unchallenged, soft errors have the potential for inducing the highest failure rate of all other reliability mechanisms combined. This article briefly reviews the types of failure modes for soft errors, the three dominant radiation mechanisms responsible for creating soft errors in terrestrial applications, and how these soft errors are generated by the collection of radiation-induced charge. The soft error sensitivity as a function of technology scaling for various memory and logic components is then presented with a consideration of which applications are most likely to require soft error mitigation.

1,345 citations


Additional excerpts

  • ...Radiations may produce system malfunctions([36])....

    [...]

Journal ArticleDOI
TL;DR: In this article, a design technique for storage elements which are insensitive to radiation-induced single-event upsets is proposed for implementation in high density ASICs and static RAMs using submicron CMOS technology.
Abstract: A novel design technique is proposed for storage elements which are insensitive to radiation-induced single-event upsets. This technique is suitable for implementation in high density ASICs and static RAMs using submicron CMOS technology.

1,096 citations


"SRAM-Based FPGA Systems for Safety-..." refers background in this paper

  • ...Fabrication process based techniques aim at reducing the effects of radiation through the use of nonstandard CMOS logic gates, such as the silicon-oninsulator (SOI) technology from IBM([53]) and radiationhardened memory cells([54])....

    [...]

Journal ArticleDOI
TL;DR: Experimental measurements of the differences between a 90- nm CMOS field programmable gate array (FPGA) and 90-nm CMOS standard-cell application-specific integrated circuits (ASICs) in terms of logic density, circuit speed, and power consumption for core logic are presented.
Abstract: This paper presents experimental measurements of the differences between a 90-nm CMOS field programmable gate array (FPGA) and 90-nm CMOS standard-cell application-specific integrated circuits (ASICs) in terms of logic density, circuit speed, and power consumption for core logic. We are motivated to make these measurements to enable system designers to make better informed choices between these two media and to give insight to FPGA makers on the deficiencies to attack and, thereby, improve FPGAs. We describe the methodology by which the measurements were obtained and show that, for circuits containing only look-up table-based logic and flip-flops, the ratio of silicon area required to implement them in FPGAs and ASICs is on average 35. Modern FPGAs also contain "hard" blocks such as multiplier/accumulators and block memories. We find that these blocks reduce this average area gap significantly to as little as 18 for our benchmarks, and we estimate that extensive use of these hard blocks could potentially lower the gap to below five. The ratio of critical-path delay, from FPGA to ASIC, is roughly three to four with less influence from block memory and hard multipliers. The dynamic power consumption ratio is approximately 14 times and, with hard blocks, this gap generally becomes smaller

1,078 citations


Additional excerpts

  • ...As has been analyzed in depth by Kuon and Rose[18], FPGA-based designs are usually larger, slower and much more energy-consuming than full-custom designs....

    [...]

  • ...As has been analyzed in depth by Kuon and Rose([18]), FPGA-based designs are usually larger, slower and much more energy-consuming than full-custom designs....

    [...]

Book
18 Apr 2008
TL;DR: This survey reviews the historical development of programmable logic devices, the fundamental programming technologies that the programmability is built on, and then describes the basic understandings gleaned from research on architectures.
Abstract: Field-Programmable Gate Arrays (FPGAs) have become one of the key digital circuit implementation media over the last decade. A crucial part of their creation lies in their architecture, which governs the nature of their programmable logic functionality and their programmable interconnect. FPGA architecture has a dramatic effect on the quality of the final device's speed performance, area efficiency, and power consumption. This survey reviews the historical development of programmable logic devices, the fundamental programming technologies that the programmability is built on, and then describes the basic understandings gleaned from research on architectures. We include a survey of the key elements of modern commercial FPGA architecture, and look toward future trends in the field.

491 citations


"SRAM-Based FPGA Systems for Safety-..." refers background in this paper

  • ...More detailed discussions about FPGA architectures can be found in [17]....

    [...]

  • ...Three FPGA programming technologies exist: static memory (SRAM) based, non-volatile memory (flash and EEPROM) based and antifuse-based([17])....

    [...]

  • ...This is basically due to the two main factors of low cost and short time to market([17])....

    [...]

Frequently Asked Questions (16)
Q1. What are the contributions in "Sram-based fpga systems for safety-critical applications: a survey on design standards and proposed methodologies" ?

The main contribution of this paper is an overview of the existing design standards that regulate the design and verification of FPGA-based systems in safety-critical application fields. Moreover, the paper proposes a survey of significant published research proposals and existing industrial guidelines about the topic, and collects and reports about some lessons learned from industrial and research projects involving the use of FPGA devices. 

In the placement and routing phase the synthesized netlist is placed on the chip and routing information is defined in order to meet the timing constraints. 

Since the choice between synchronous or asynchronous design is made at the HDL description phase, the use of simple HDL source code templates that are available from the FPGA vendors is highly recommended in order to avoid coding errors that would lead to an asynchronous architecture, while the desired architecture was synchronous, or viceversa. 

A full custom design may need up to three fabrication iterations and thus up to twelve or even eighteen months between the product conception and its availability to customers. 

For complex designs it is important to use self-checking test benches, that can perform the test activity, automatically check the results and produce a test report, without requiring a visual inspection of the waveforms. 

In particular, radiations affecting digital circuits may cause changes in the contents of memory elements and in the value of signals. 

The main issues related to the design of FPGA-based systems and to their adoption in safety-critical application fields are the lack of standards specifically addressing the FPGA technology and the severe susceptibility of FPGA devices to the effects of radiations. 

An additional advantage of designbased techniques is that they can be applied to different levels of design abstraction and can address different fault types. 

On the other hand, SRAM-based FPGAs need a supporting non-volatile memory to store the configuration data while the device is not powered. 

Careful attention to the power up and down sequences of FPGA devices should always be paid, since some technologies exhibit an uncontrollable behavior on their input and output pins during these phases. 

ware Systems in Safety-Critical SystemsA general framework for the design and development of hardware and software safety-critical systems is the IEC 61508 standard, and in particular the IEC 61508-2 [42] and the IEC 61508-3 [43] for hardware and software systems respectively. 

SRAM-based FPGA devices are still seldom used in those parts of systems related with the safety of the system itself, due to the vulnerability to faults of the SRAM-based configuration memory [61]. 

Because of this, the solution proposed in [35] is to assert the internal reset signal asynchronously and to de-assert it synchronously. 

They can be configured to host a complete microprocessor, or even a System-on-Chip, i.e., a complete system, composed of processor, memory and peripherals, all placed on the same chip. 

Because of this, FPGAs are often perceived by designers as easy to modify and correct late in the development process, thus FPGA based systems are often designed with development methods more similar to a code and fix approach than a true hardware design process, methods that would not be accepted for the design of more costly and less flexible technologies, such as ASICs or microprocessors [17]. 

they are more and more widely employed in all application fields and the interest in using FPGA devices in safety-related applications, such as space missions or railways systems, is growing.